TWI487109B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI487109B
TWI487109B TW100137843A TW100137843A TWI487109B TW I487109 B TWI487109 B TW I487109B TW 100137843 A TW100137843 A TW 100137843A TW 100137843 A TW100137843 A TW 100137843A TW I487109 B TWI487109 B TW I487109B
Authority
TW
Taiwan
Prior art keywords
semiconductor layer
film
protective film
insulating film
semiconductor device
Prior art date
Application number
TW100137843A
Other languages
English (en)
Other versions
TW201220502A (en
Inventor
Shirou Ozaki
Masahito Kanamura
Norikazu Nakamura
Toyoo Miyajima
Masayuki Takeda
Keiji Watanabe
Toshihide Kikkawa
Kenji Imanishi
Toshihiro Ohki
Tadahiro Imada
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Publication of TW201220502A publication Critical patent/TW201220502A/zh
Application granted granted Critical
Publication of TWI487109B publication Critical patent/TWI487109B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Description

半導體裝置及其製造方法
本發明係關於一種半導體裝置及其製造方法。
已知氮化鋁鎵/氮化鎵異接面場效電晶體使用氮化鎵層作為電子傳遞層。氮化鎵為具有高崩潰電壓、高飽和電子速度之寬能隙材料。氮化鎵為可實現高電流、高電壓以及低導通電阻之材料。因此,許多論文、研究以氮化鎵為基礎之半導體裝置進行實驗,作為新世代高效能之開關裝置。
通常在如場效電晶體之半導體裝置中,於該汲極電極或閘極電極形成後,絕緣層以鈍化之目的形成於該裝置(如場效電晶體)之整個表面上。
為實現高性能之功率電晶體之開關裝置,需要降低導通電阻,但卻要實現該開關裝置之正常關閉行為以及高崩潰電壓。低導通電阻和正常關閉行為,可藉由改善氮化鎵之晶體品質以及/或改善該電晶體使用之其他材質之晶體品質而實現。另一方面,通常難以使用蕭特基閘極結構來實現高崩潰電壓,因為根據不同用途會需要用到數百伏到數千伏之介電強度。為克服此問題,於閘極電極與半導體層之間***絕緣膜,以降低閘極漏電流並且提升介電強度。
絕緣材料之保護膜或鈍化膜也可設置於電晶體,其中絕緣膜係***該閘極電極和該半導體層間。然而,該保護膜會降低該電晶體之介電強度,結果無法達到足夠大的介電強度。
因此,希望在半導體裝置內,藉由設置於閘極電極和半導體層之間的絕緣膜,來達到足夠的介電強度。
先前技術文獻:
專利文獻1:日本特開第2008-103408號。
專利文獻2:美國發明專利公開第2006/0019435 A1號。
依據本發明的一個態樣,一種半導體裝置係包含:第一半導體層,形成於基板上;第二半導體層,形成於該第一半導體層上;源極電極和汲極電極,形成於該第二半導體層上;絕緣膜,形成於該第二半導體層上;閘極電極,形成於該絕緣膜上;以及保護膜,覆蓋該絕緣膜,該保護膜藉由熱化學氣相沉積、熱原子層沉積(thermal ALD)、或真空氣相沉積所形成。
根據本發明之另一個態樣,一種半導體裝置係包含:第一半導體層,形成於基板上;第二半導體層,形成於該第一半導體層上;源極電極和汲極電極,形成於該第二半導體層上;凹部,形成於該第二半導體層、或該第一半導體層的一部分與該第二半導體層;絕緣膜,形成於該第二半導體層上及該凹部內;閘極電極,形成於該凹部內之該絕緣膜上;以及保護膜,覆蓋該絕緣膜,該保護膜藉由熱化學氣相沉積、熱原子層沉積、或真空氣相沉積所形成。
根據本發明之又一個態樣,一種半導體裝置製造方法,包含:於基板上依序形成第一半導體層和第二半導體層;形成源極電極和汲極電極於該第二半導體層上;形成絕緣膜於該第二半導體層上;形成閘極電極於該絕緣膜上;以及藉由熱化學氣相沉積、熱原子層沉積、或真空氣相沉積形成覆蓋該絕緣膜之保護膜。
根據本發明之再一個態樣,一種半導體裝置製造方法,包含:於基板上依序形成第一半導體層和第二半導體層;形成源極電極和汲極電極於該第二半導體層上;形成凹部於該第二半導體層;形成絕緣膜於該第二半導體層上和該凹部內;形成閘極電極於該凹部內之該絕緣膜上;以及藉由熱化學氣相沉積、熱原子層沉積、或真空氣相沉積形成覆蓋該絕緣膜之保護膜。
本發明之標的和優點可經由申請專利範圍中所特別指出之元件及其組合來實現和達成。須知上述之通常敘述和下述之細節,乃為解釋之用,非以限制本發明。
本發明參照附圖敘述。以相同符號標示之相同元件或組件不再贅述。
[第一實施例]
首先,參照第1圖,在電晶體的結構中,絕緣膜係設置在閘極電極與半導體層之間,該電晶體保護膜所覆蓋,而該保護膜係由絕緣材料所形成。此類電晶體稱為高電子流動性電晶體(HEMT),其中,電子傳遞層12、位障層13、和蓋層14依序外延生長於基板11上。源極電極15與汲極電極16連接至該位障層13。絕緣膜17形成於該蓋層14上,而閘極電極18形成於該絕緣膜17上。設置保護膜19,以覆蓋該絕緣膜17之整個表面。
該基板11例如為碳化矽基板、藍寶石基板、或其他合適的基板。該電子傳遞層12為本質氮化鎵(I-GaN)層。該位障層13係由N型氮化鋁鎵(n-AlGaN)所形成。該蓋層14係由N型氮化鎵(n-GaN)所形成。該絕緣膜17為由電漿原子層沉積所形成之氧化鋁(Al2 O3 )膜。該保護膜19由例如氮化矽(SiN)、二氧化矽(SiO2 )或氧化鋁(Al2 O3 )形成。電漿化學氣相沉積通常用於形成該保護膜19,因其形成速率較高而能提高產量。
第2圖繪示上述該保護膜19形成後,閘極源極電壓(Vgs)與閘極源極電流(Igs)之關係、以及閘極汲極電壓(Vgd)與閘極汲極電流(Igd)之關係。第3圖繪示上述該保護膜19形成前,閘極源極電壓(Vgs)與閘源極電流(Igs)之關係、以及閘極汲極電壓(Vgd)與閘極汲極電流(Igd)之關係。
從第2圖和第3圖可清楚地得知,沒有該保護膜19時,該閘極源極電流(Igs)與該閘極汲極電流(Igd)極低,其被抑制至10 nA/mm以下。相較於沒有該保護膜19的電晶體中的閘極漏電流,有該保護膜19的電晶體中的閘極漏電流明顯地增加。換句話說,該保護膜19的形成造成閘極漏電流增大,並因而使該電晶體之性能減退。縱使該絕緣膜17係由二氧化鉿所形成,仍可觀察出相同的現象。
發明者對閘極漏電流在有該保護膜19設置時為何會激增,有密集的研究,並發現閘極漏電流的激增現象歸因於該保護膜19的形成方法。
第1表例示以數種方法形成之氧化鋁保護膜19,其源極汲極介電強度。
如第1表所示,當具有介電強度390V之該電晶體上,以電漿化學氣相沉積形成氧化鋁保護膜19後,該介電強度驟降為150V。當具有介電強度400V之該電晶體上,以電漿原子層沉積形成氧化鋁保護膜19後,該介電強度下降為200V。當具有介電強度380V之該電晶體上,以濺鍍沉積形成氧化鋁保護膜19後,該介電強度下降為140V。對比之下,當具有介電強度400V之該電晶體上,以熱原子層沉積形成氧化鋁保護膜19後,該介電強度仍維持在400V。熱原子層沉積法,以不生成電漿之方式,交替地提供原料氣體給加熱之基板。
由上述實驗結果,可推測具有保護膜19的電晶體中閘極漏電流的增加係歸因於用以形成該氧化鋁膜之電漿化學氣相沉積法。
電漿化學氣相沉積、電漿原子層沉積、以及濺鍍,皆為使用電漿處理之膜沉積方法,但熱原子層沉積為一種無電漿製程。
從上述假設可作出以下結論:介電強度降低,並因此使得閘極漏電流增加,其原因係在於用來形成該氧化鋁保護膜19之電漿製程。當熱原子層沉積用於形成該氧化鋁保護膜19時,該介電強度維持不變。因此,以如熱原子層沉積之無電漿製程形成該氧化鋁保護膜19,可防止閘極漏電流之增加。無電漿製程的範例包含熱原子層沉積、熱化學氣相沉積、真空氣相沉積(含電阻加熱、電子束汽化)等。
接著,說明以如電漿化學氣相沉積之電漿製程形成氧化鋁保護膜19時,增加閘極漏電流之機制。第4圖繪示藉由電漿製程之膜沉積法,於具有該絕緣膜17和該閘極電極18之結構上形成之保護膜19。在電漿製程中,電漿30所生成之帶電粒子透過該閘極電極18進入該絕緣膜17。假設該帶電粒子造成該絕緣膜17之缺陷,並因此使得閘極漏電流增加。因為該絕緣膜17的表面係曝露於電漿,因此,也可假設該缺陷係由於該絕緣膜17的表面中的電漿破壞所造成的。在這些假設下,只要該保護膜18係由電漿製程所形成,該絕緣膜17之介電強度就應該會被抑制,縱使該絕緣膜17係由金屬氧化物、氮氧化物或氮化物所形成亦然。
電晶體的介電強度之降低,雖然相同現象是由如電漿化學氣相沉積之電漿製程之保護膜19之形成時發生,但以前從未被懷疑。以前從未察覺該現象,是因為傳統半導體材料如砷化鎵具有較氮化鎵窄的能隙。在小能隙材料,實際使用的電壓範圍低於會造成介電強度降低之問題的電壓範圍。因此,因為由如電漿化學氣相沉積之電漿製程所形成之保護膜所造成之介電強度降低的問題,是近來才有的實務問題。換句話說,因為以電漿製程形成之保護膜,造成之介電強度之降低,是在寬能隙材料如氮化鎵之使用以後才浮上檯面。
當以電漿原子層沉積形成氧化鋁膜時,三甲基鋁(TMA,(CH3 )3 Al)和氧氣作為製造電漿的原料。或者,在電漿原子層沉積時,三甲基鋁和氧氣電漿可交互供應。當以濺鍍製程形成氧化鋁膜時,氧化鋁作為標靶,而供應氬氣和氧氣作為濺鍍氣體。或者,鋁也可以作為標靶,而氬氣和氧氣作為實施濺鍍之氣體。當以熱原子層沉積形成氧化鋁膜時,基板受到加熱,而交互供應三甲基鋁和水作為原料。在熱原子層沉積製程時,沒有電漿形成於沉積室。
以電漿化學氣相沉積形成之絕緣膜中,包含5×1020 /cm3 或更高密度之氫分子。以電漿原子層沉積形成之絕緣膜中,其內包含1×1020 /cm3 或更低密度之氫分子、以及1×1020 /cm3 或更低密度之水分子。以熱原子層沉積形成之絕緣膜中,包含1×1020 /cm3 或更低密度之氫分子、以及1×1020 /cm3 或更高密度之水分子。因此,該膜沉積方法可由量測該絕緣膜中之氫分子、水分子之量來辨認。
(半導體裝置製造方法)
接著,說明本實施例之半導體製造方法,配合參照第5A圖至第5F圖。
如第5A圖所示,核層(未圖示)形成於基板11上。包含電子傳遞層12、位障層13以及蓋層14之半導體層,係以金屬有機氣相磊晶(MOVPE)依序向外生長。
該基板11例如為碳化矽基板或藍寶石(Al2 O3 )基板。形成於該基板11上之該核層(未圖示)例如為厚度0.1μm之未摻雜本質氮化鋁層。該電子傳遞層12係為該第一半導體層,為厚度3.0μm之未摻雜本質氮化鎵層。該位障層13為該第二半導體層,為厚度20 nm之未摻雜本質Al0.25 Ga0.75 N層。該蓋層14為該第三半導體層,為厚度5 nm之n型氮化鎵層。以此層疊結構,二維電子氣槽(2DEG)通道12a係形成於該電子傳遞層12之接近該位障層13處。
為形成該半導體層12-14,使用原料氣體如三甲基鋁(TMA)、三甲基鎵(TMG)、或氨。根據欲形成之半導體層之組成,調整原料氣體之供應量。形成該半導體層之氨氣之流速為100 sccm到10 slm,該半導體層之晶體生長室之壓力為6.68至40.05 kPa(50至300 Torr),而該生長溫度為1000至1200℃。該位障層13可為摻雜n型Al0.25 Ga0.75 N層。該些半導體層可由分子束磊晶(MBE)之晶體生長而形成。該位障層13可由氮化銦鎵、氮化鋁銦、或氮化鎵鋁銦所形成,除了氮化鎵鋁外。
接著,如第5B圖所示,形成裝置分隔區21。特別是,在該蓋層14之表面塗佈光阻,並使用曝光系統透過曝光和顯影來將該光阻圖案化成預設光阻圖案。該光阻圖案具有開口,對應用以形成該裝置分隔區21之區域。接著,使用該光阻圖案作為遮罩而實行離子植入,以將雜質引入電子傳遞層12。該雜質引入之區域成為該裝置分隔區21。然後移除該光阻圖案。作為替代方案,使用該光阻圖案作為遮罩,而該蓋層14、該位障層13、及部分該電子傳遞層12藉由乾蝕刻通過該遮罩之開口來加以移除。氧化膜可埋置於已移除該些半導體層的區域中。
接著,如第5C圖所示,形成源極電極15和汲極電極16。特別是,光阻塗佈於該蓋層14之表面,並且透過曝光系統之曝光和顯影來將該光阻圖案化成預設光阻圖案。該光阻圖案具有開口,對應用以形成該源極電極15和該汲極電極16之區域。接著,該光阻圖案作為遮罩,以例如使用氯氣之反應性離子蝕刻(RIE)之乾蝕刻,透過該遮罩之開口,移除該蓋層14及部分該位障層13。在乾蝕刻製程時,氯氣作為蝕刻氣體以流速30 sccm引入該室。該室內之壓力約設為2 Pa、而射頻功率為20瓦。接著,由真空氣相沉積或其他方法形成金屬膜,如鉭/鋁層。接著,該金屬膜不需要的部分連同光阻圖案以剝離法移除。因此,形成該源極電極15和該汲極電極16。在剝離製程後,施加580℃之熱處理以形成歐姆接面。
接著,如第5D圖所示,絕緣膜17形成於該蓋層14、該源極電極15以及該汲極電極16之上。該絕緣膜17包含選自氧化矽、氧化鋁、氧化鉿、氧化鉭、氧化鋯、氧化釔、氧化鑭、氧化鉭、氮化矽、氮化鋁以及氮氧化矽之一種或多種材料。該絕緣膜17需要相對高的電容率。從實務觀點看,較佳使用二氧化矽、氮化矽、氧化鋁、氮氧化矽、及二氧化鉿。該絕緣膜17之厚度為2 nm到200 nm。該絕緣膜17由電漿原子層沉積、電漿化學氣相沉積、或濺鍍形成。若氧化鋁絕緣膜17以電漿化學氣相沉積形成,則三甲基鋁(TMA)和氧氣將作為原料氣體製造電漿。
接著,如第5E圖所示,形成閘極電極18。特別是,光阻係塗佈於該絕緣膜17之表面,以及透過曝光系統之曝光、顯影來將該光阻圖案化預設光阻圖案。該光阻圖案具有供該閘極電極18形成於其上之開口。接著,如鎳/金之金屬膜以真空氣相沉積或其他合適方法形成。接著,該金屬膜中不必要的部分連同該光阻圖案以剝離法移除。因此,形成該閘極電極18。
接著,如第5F所示,形成保護膜20。該保護膜20包含一種或多種材料,如氧化矽、氧化鋁、氧化鉿、氧化鉭、氧化鋯、氧化釔、氧化鑭、氧化鉭、氮化矽、氮化鋁以及氮氧化矽。該保護膜20以如熱原子層沉積、熱化學氣相沉積、以及真空氣相沉積之無電漿製程形成。以熱原子層沉積形成該氧化鋁保護膜20時,三甲基鋁和水交替供應同時加熱該基板至200到400℃。
因此,該半導體裝置已根據第一實施例製造。因為該保護膜20係以不產生電漿的製程所形成,因此,具保護膜之電晶體之介電強度可維持到該保護膜形成後。
[第二實施例]
第二實施例如下所敘。第6A圖到第6G圖繪示依據第二實施例之半導體裝置製造方法。
首先,如第6A圖所示,核層(未圖示)形成於基板11上。包含電子傳遞層12、位障層13以及蓋層14之半導體層,以金屬有機氣相磊晶(MOVPE)依序向外生長。
該基板11例如為碳化矽基板或藍寶石基板。形成於該基板11上之該核層(未圖示)例如為厚度0.1μm之未摻雜本質氮化鋁層。該電子傳遞層12為該第一半導體層,為厚度3.0μm之之未摻雜本質氮化鎵層。該位障層13為該第二半導體層,為厚度20 nm之之未摻雜本質Al0.25 Ga0.75 N層。該蓋層14為該第三半導體層,為厚度5 nm之一n型之未摻雜氮化鎵層。以此層疊結構,二維電子氣槽(2DEG)12a形成於該電子傳遞層12之接近該位障層13處。
接著,如第6B圖所示,形成裝置分隔區21。特別是,在該蓋層14之表面塗佈光阻,以及透過曝光系統之曝光和顯影來將該光阻圖案化成預設光阻圖案。該光阻圖案具有開口,對應用以形成該裝置分隔區21之區域。接著,實行離子植入,該光阻圖案作為遮罩而將雜質引入電子傳遞層12。該雜質引入之區域成為該裝置分隔區21。然後移除該光阻圖案。
接著,如第6C圖所示,形成源極電極15和汲極電極16。特別是,光阻塗佈於該蓋層14之表面,以及透過曝光系統之曝光和顯影來將該光阻圖案化成預設光阻圖案。該光阻圖案具有開口,對應用以形成該源極電極15和該汲極電極16之區域。接著,該光阻圖案作為遮罩,以例如使用氯氣之反應性離子蝕刻(RIE)之乾蝕刻,透過該遮罩之開口,移除該蓋層14及部分該位障層13。在乾蝕刻處理時,氯氣作為蝕刻氣體以流速30 sccm引入該室。該室內之壓力約設為2 Pa、而射頻功率為20瓦。接著,由真空氣相沉積或其他方法形成金屬膜,如鉭/鋁層。接著,該金屬膜不需要的部分連同光阻圖案以剝離法移除。因此,形成該源極電極15和該汲極電極16。在剝離製程後,施加580℃之熱處理以形成歐姆接面。
接著,如第6D圖所示,形成凹部31。特別是,光阻塗佈於該蓋層14之表面,且以該曝光系統之曝光和顯影,將該光阻圖案化成光阻圖案。該光阻圖案具有開口,該開口對應於形成該凹部31之區域。接著,以該光阻圖案為遮罩,該蓋層14和部分該位障層13以乾蝕刻,例如使用氯氣的反應性離子蝕刻(RIE)移除。蝕刻製程中,氧氣和氟氣可能在蝕刻氣體混合。乾蝕刻部分該蓋層14已形成該凹部31。另外,該凹部31藉由移除該蓋層14、該位障層13以及部分該電子傳遞層12,可接觸該電子傳遞層12。
接著,如第6E圖所示,絕緣膜32形成於該蓋層14、該源極電極15以及該汲極電極16之上的該凹部31之內表上。該絕緣膜32包含選自氧化矽、氧化鋁、氧化鉿、氧化鉭、氧化鋯、氧化釔、氧化鑭、氧化鉭、氮化矽、氮化鋁以及氮氧化矽之一種或多種材料。
該絕緣膜32需要相對高的電容率。從實務觀點看,較佳使用二氧化矽、氮化矽、氧化鋁、氮氧化矽、及二氧化鉿。該絕緣膜32之厚度為2 nm到200 nm。該絕緣膜32由電漿原子層沉積、電漿化學氣相沉積、或濺鍍形成。若氧化鋁絕緣膜32以電漿化學氣相沉積形成,則三甲基鋁(TMA)和氧氣將作為原料氣體製造電漿。
接著,如第6F圖所示,形成閘極電極33。特別是,光阻塗佈於該絕緣膜32之表面,以及透過曝光系統之曝光、顯影來將該光阻圖案化成預設光阻圖案。該光阻圖案具有供該凹部31形成於其上之開口。接著,如鎳/金之金屬膜以真空氣相沉積或其他合適方法形成。接著,該金屬膜中不必要的部分連同該光阻圖案以剝離法移除。因此,形成該閘極電極33。
接著,如第6G所示,形成保護膜34。該保護膜34具有絕緣材料,較佳使用氧化鋁。該保護膜34以如熱原子層沉積、熱化學氣相沉積、或真空氣相沉積之無電漿製程形成。以熱原子層沉積形成該氧化鋁保護膜34時,三甲基鋁和水交替供應同時加熱該基板至200到400℃。
因此,該半導體裝置已依據第二實施例製造。
第二實施例中,除了上述之處理、結構之細節,均和第一實施例相同。
[第三實施例]
接著,說明第三實施例。當保護膜形成於絕緣膜之上時,半導體裝置的介電強度下降。該降電強度的下降,可能起因於該絕緣膜和該保護膜之熱膨脹係數的差異、在形成該保護膜的期間所產生的應力、以及在該絕緣膜和該保護膜間剩餘的水。
由此觀之,可藉由使用金屬氧化材料形成該絕緣膜以及該保護膜,將該絕緣膜與該保護膜之熱膨脹係數之差異降低至2ppm或更小。若該絕緣膜和該保護膜以相同金屬氧化材料形成,則該差異幾可降至零。該金屬氧化材料,可包含選自矽、鋁、鉿、鉭、鋯、釔以及鑭之一種或多種。為提升介電強度,最好保持該絕緣膜和該保護膜在非晶態。
第7圖為例示根據第三實施例之半導體裝置製造方法之流程圖。根據第三實施例之該製造方法,與第二實施例不同之處,在於該保護膜34的形成製程。該製程之詳細情況如下述。
首先,在步驟S102,厚度50 nm之氧化鋁膜以熱原子層沉積或熱化學氣相沉積形成。該氧化鋁膜之厚度之較佳範圍是10 nm到50 nm。若該厚度小於10 nm,則該裝置不適於實際生產。若該厚度大於50 nm,則會有孔洞再熱處理時形成。假設該些孔洞因為水的凝結而產生。該膜的厚度越大,則越多的孔洞生成。在厚度等於或小於50nm較少發現有孔洞生成。因此,該氧化鋁膜之厚度等於或小於50nm較佳。
接著,步驟S104為700℃之熱處理。該熱處理之溫度範圍為500℃到800℃,650℃到800尤佳。若溫度超過800℃,保護膜會從非晶相轉變成晶體相。因此,最好在800℃以下進行熱處理。
接著,在步驟S106,判斷該氧化鋁膜是否有達到預設之厚度。若該氧化鋁膜已達預設之厚度,則該保護膜34之形成處理完結。若該氧化鋁膜未達預設之厚度,則回到步驟S102,且膜沉積和熱處理將重複直至該膜達到預設之厚度。
以此方法,形成包含二層或多層之多層保護膜34。
接著,以X光光電分析儀(XPS)分析氧化鋁膜作為保護膜34之結果。該XPS分析以Shimadzu公司製造銷售的AXIS-His作為量測設備。
第8圖繪示該X光光電分析儀(XPS)量測氧化鋁膜B之結果,該膜B以熱化學氣相沉積方式連續沉積。第9圖繪示該X光光電分析儀(XPS)量測氧化鋁膜A之結果,該膜A以第7圖繪示之製程形成。在這兩個例子,使用矽基板以形成200 nm之氧化鋁膜於其上。該氧化鋁膜B即連續形成之熱化學氣相沉積膜,含有32%之氫氧基(氫氧化鋁)。反之,以第三實施例之方法處理之該氧化鋁膜A含有18%之氫氧基。須知根據第三實施例方法形成之膜可大幅降低膜中氫氧基的含量。若氫氧基包含在金屬氧化膜內,氫鍵會吸收水分,而該水分藉由形成該膜之熱處理而凝結。因此,降低該氧化鋁膜內氫氧基的濃度較佳。
第10圖繪示溫度和氧化鋁膜之凝結水的相關性。以熱凝結分析儀(TDS)和ESCO公司生產的除氣系統“EMD 1000”加熱測量。如圖所示,當連續形成的該氧化鋁膜B經熱化學氣相沉積加熱到500℃或以上,可觀察到氫氧基間脫水凝縮之水凝結。反之,由第三實施例之製程形成之該氧化鋁膜A,偵測到之水凝結甚小。在該氧化鋁膜B,從500℃以上偵測到水凝結,在650℃達到頂峰。因此,最好選取溫度500℃到800℃之熱處理,650℃到800℃尤佳。
第11圖繪示該保護膜之介電強度之測試結果。該介電強度測試,樣本以第12圖所示之結構與方法量測。在各樣本中,氧化鋁膜111形成於該基板110上,且電極112與113配置在該氧化鋁膜111上。接著,另一種保護膜114作為測量目標,置於該氧化鋁膜111上、該電極112與113之間。一台三用電表115連接至電極112與113。量測的該保護膜,共分為第一型氮化矽膜、第二型由熱化學氣相沉積形成的氧化鋁膜、第三型由第一實施例之方法形成的氧化鋁膜。再有一種是沒有(絕緣)保護膜114的樣本,但同樣有氧化鋁膜111、電極112和113。第11圖清楚顯示,根據第三實施例形成之該氧化鋁膜A,具有最高的介電強度,類於無(絕緣)保護膜114之樣本。
第三實施例的該保護膜形成製程亦可應用於第一實施例。其中的除了上述說明的細節,均與第一、第二實施例相同。
藉由上述實施例揭露之結構和方法,半導體(如電晶體)之介電強度維持在足夠水準,而具有絕緣膜***於閘極電極和半導體層間、上覆絕緣保護膜。
此處引用的所有實施例和術語,皆為例示之目的、輔助讀者了解本發明之觀念,但不侷限於特定引用之實施例,該些實施例的結構也不特定指涉本發明的上位或下位概念。雖然本發明及其實施例作細節敘述,但須知對本發明作的任何修正或替換,不得背離本發明的精神與範疇。
11...基板
12...電子傳輸層
12a...二維電子氣槽
13...位障層
14...蓋層
15...源極電極
16...汲極電極
17...絕緣膜
18...閘極電極
19...保護膜
20...保護膜
21...裝置分隔區
30...電漿
32...絕緣膜
33...閘極電極
34...保護膜
110...基板
111...氧化鋁膜
112...電極
113...電極
114...保護膜
115...三用電表
S102...步驟
S104...步驟
S106...步驟
第1圖為繪示以一保護膜覆蓋之高電子流動性電晶體(HEMT)之剖面結構;
第2圖繪示由電漿化學氣相沉積形成之高電子流動性電晶體(HEMT)之閘電流特性;
第3圖繪示無保護膜之高電子流動性電晶體(HEMT)之閘電流特性;
第4圖為繪示由電漿化學氣相沉積形成保護膜;
第5A圖為繪示根據第一實施例之半導體裝置製造方法之剖面圖;
第5B圖為繪示根據第一實施例之半導體裝置製造方法之剖面圖;
第5C圖為繪示根據第一實施例之半導體裝置製造方法之剖面圖;
第5D圖為繪示根據第一實施例之半導體裝置製造方法之剖面圖;
第5E圖為繪示根據第一實施例之半導體裝置製造方法之剖面圖;
第5F圖為繪示根據第一實施例之半導體裝置製造方法之剖面圖;
第6A圖為繪示根據第二實施例之半導體裝置製造方法之剖面圖;
第6B圖為繪示根據第二實施例之半導體裝置製造方法之剖面圖;
第6C圖為繪示根據第二實施例之半導體裝置製造方法之剖面圖;
第6D圖為繪示根據第二實施例之半導體裝置製造方法之剖面圖;
第6E圖為繪示根據第二實施例之半導體裝置製造方法之剖面圖;
第6F圖為繪示根據第二實施例之半導體裝置製造方法之剖面圖;
第6G圖為繪示根據第二實施例之半導體裝置製造方法之剖面圖;
第7圖為根據第三實施例之半導體裝置製造方法之流程圖;
第8圖繪示由熱化學氣相沉積形成之氧化鋁膜B之X光電子能譜圖之量測結果;
第9圖繪示由第7圖之方法形成之氧化鋁膜A之X光電子能譜圖之量測結果;
第10圖繪示溫度和水的凝結之關係;
第11圖繪示保護膜之介電強度之測試結果;以及
第12圖為繪示保護膜之介電強度之量測示意圖。
S102...步驟
S104...步驟
S106...步驟

Claims (16)

  1. 一種半導體裝置,包含:第一半導體層,形成於基板上;第二半導體層,形成於該第一半導體層上;源極電極和汲極電極,形成於該第二半導體層上;絕緣膜,形成於該第二半導體層上;閘極電極,形成於該絕緣膜上;以及保護膜,覆蓋該閘極電極及該絕緣膜,該保護膜係藉由熱化學氣相沉積、熱原子層沉積或真空氣相沉積所形成。
  2. 一種半導體裝置,包含:第一半導體層,形成於基板上;第二半導體層,形成於該第一半導體層上;源極電極和汲極電極,形成於該第二半導體層上;凹部,形成於該第二半導體層、或該第一半導體層的一部分與該第二半導體層;絕緣膜,形成於該第二半導體層上及該凹部內;閘極電極,形成於該凹部內之該絕緣膜上;以及保護膜,覆蓋該閘極電極及該絕緣膜,該保護膜藉由熱化學氣相沉積、熱原子層沉積、或真空氣相沉積所形成。
  3. 如申請專利範圍第1或2項所述之半導體裝置,其中,該保護膜為氧化金屬膜。
  4. 如申請專利範圍第1或2項所述之半導體裝置,其中, 該保護膜包含選自氧化矽、氧化鋁、氧化鉿、氧化鉭、氧化鋯、氧化釔、氧化鑭、氧化鉭、氮化矽、氮化鋁以及氮氧化矽之一種或多種材料。
  5. 如申請專利範圍第1或2項所述之半導體裝置,其中,該保護膜為多層保護膜。
  6. 如申請專利範圍第1或2項所述之半導體裝置,其中,該絕緣膜為氧化金屬膜。
  7. 如申請專利範圍第1或2項所述之半導體裝置,其中,該絕緣膜包含選自氧化矽、氧化鋁、氧化鉿、氧化鉭、氧化鋯、氧化釔、氧化鑭、氧化鉭、氮化矽、氮化鋁以及氮氧化矽之一種或多種材料。
  8. 如申請專利範圍第1或2項所述之半導體裝置,其中,該絕緣膜係藉由電漿化學氣相沉積、電漿原子層沉積、或濺鍍所形成。
  9. 如申請專利範圍第1或2項所述之半導體裝置,其中,該保護膜及該絕緣膜包含相同材料。
  10. 如申請專利範圍第1或2項所述之半導體裝置,復包含第三半導體層,位於該第二半導體層與該絕緣膜之間。
  11. 一種半導體裝置製造方法,包含:於基板上依序形成第一半導體層及第二半導體層;形成源極電極及汲極電極於該第二半導體層上;形成絕緣膜於該第二半導體層上;形成閘極電極於該絕緣膜上;以及藉由熱化學氣相沉積、熱原子層沉積、或真空氣相 沉積形成覆蓋該閘極電極及該絕緣膜的保護膜。
  12. 一種半導體裝置製造方法,包含:於基板上依序形成第一半導體層及第二半導體層;形成源極電極和汲極電極於該第二半導體層上;形成凹部於該第二半導體層;形成絕緣膜於該第二半導體層上及該凹部內;形成閘極電極於該凹部內之該絕緣膜上;以及藉由熱化學氣相沉積、熱原子層沉積、或真空氣相沉積形成覆蓋該閘極電極及該絕緣膜的保護膜。
  13. 如申請專利範圍第11項所述之半導體裝置製造方法,其中,該保護膜之形成包含:藉由交替地供應三甲基鋁(trymethylaluminum)與水的熱原子層沉積以形成氧化鋁膜。
  14. 如申請專利範圍第11項所述之半導體裝置製造方法,其中,該保護膜之形成包含:形成厚度為10奈米(nm)至50奈米(nm)之氧化金屬膜;對該氧化金屬膜進行溫度為500℃到800℃的熱處理;以及重複該氧化金屬膜的形成和該熱處理。
  15. 如申請專利範圍第12項所述之半導體裝置製造方法,其中,該保護膜之形成包含:藉由交替地供應三甲基鋁與水的熱原子層沉積以形成氧化鋁膜。
  16. 如申請專利範圍第12項所述之半導體裝置製造方法,其中,該保護膜之形成包含:形成厚度為10奈米(nm)至50奈米(nm)之氧化金屬膜;對該氧化金屬膜進行溫度為500℃到800℃的熱處理;以及重複該氧化金屬膜的形成和該熱處理。
TW100137843A 2010-10-19 2011-10-19 半導體裝置及其製造方法 TWI487109B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010234961A JP5636867B2 (ja) 2010-10-19 2010-10-19 半導体装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW201220502A TW201220502A (en) 2012-05-16
TWI487109B true TWI487109B (zh) 2015-06-01

Family

ID=45933400

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100137843A TWI487109B (zh) 2010-10-19 2011-10-19 半導體裝置及其製造方法

Country Status (4)

Country Link
US (2) US20120091522A1 (zh)
JP (1) JP5636867B2 (zh)
CN (1) CN102456730B (zh)
TW (1) TWI487109B (zh)

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012134206A (ja) * 2010-12-20 2012-07-12 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体装置およびその製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN104053626B (zh) 2011-10-28 2017-06-30 意法半导体股份有限公司 用于制造针对氢氟酸蚀刻的保护层的方法、设置有该保护层的半导体器件及制造该半导体器件的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8883021B2 (en) * 2012-03-30 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS nanostructures and methods of forming the same
JP6054621B2 (ja) * 2012-03-30 2016-12-27 トランスフォーム・ジャパン株式会社 化合物半導体装置及びその製造方法
JP6050018B2 (ja) * 2012-04-04 2016-12-21 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US8912570B2 (en) 2012-08-09 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014097526A1 (ja) * 2012-12-20 2014-06-26 パナソニック株式会社 電界効果トランジスタおよびその製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6171435B2 (ja) 2013-03-18 2017-08-02 富士通株式会社 半導体装置及びその製造方法、電源装置、高周波増幅器
US9054027B2 (en) * 2013-05-03 2015-06-09 Texas Instruments Incorporated III-nitride device and method having a gate isolating structure
JP6136573B2 (ja) * 2013-05-27 2017-05-31 富士通株式会社 半導体装置及び半導体装置の製造方法
DE102013211360A1 (de) * 2013-06-18 2014-12-18 Robert Bosch Gmbh Halbleiter-Leistungsschalter und Verfahren zur Herstellung eines Halbleiter-Leistungsschalters
JP6135487B2 (ja) 2013-12-09 2017-05-31 富士通株式会社 半導体装置及び半導体装置の製造方法
US9934969B2 (en) 2014-01-31 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Charged-particle-beam patterning without resist
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9640620B2 (en) * 2014-11-03 2017-05-02 Texas Instruments Incorporated High power transistor with oxide gate barriers
FR3031239B1 (fr) * 2014-12-30 2023-04-28 Thales Sa Passivation multicouche de la face superieure de l'empilement de materiaux semi-conducteurs d'un transistor a effet de champ.
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9553181B2 (en) 2015-06-01 2017-01-24 Toshiba Corporation Crystalline-amorphous transition material for semiconductor devices and method for formation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP2017059621A (ja) 2015-09-15 2017-03-23 三菱電機株式会社 半導体装置及びその製造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6567468B2 (ja) * 2016-06-20 2019-08-28 株式会社東芝 半導体装置、電源回路、及び、コンピュータ
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN109690734B (zh) * 2016-10-07 2023-10-24 株式会社半导体能源研究所 玻璃衬底的清洗方法、半导体装置的制造方法及玻璃衬底
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10276677B2 (en) * 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10804385B2 (en) * 2018-12-28 2020-10-13 Vanguard International Semiconductor Corporation Semiconductor devices with fluorinated region and methods for forming the same
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20200398322A1 (en) * 2019-06-21 2020-12-24 Timothy J. Bogardus Novel Remediating Composition
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US11342440B2 (en) * 2019-07-22 2022-05-24 Northrop Grumman Systems Corporation Passivated transistors
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TWI733468B (zh) * 2020-05-25 2021-07-11 國立中山大學 提升高電子移動率電晶體的崩潰電壓之結構
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN117098870A (zh) * 2021-01-27 2023-11-21 尤金纳斯股份有限公司 用于循环沉积的前体递送***及方法
US11688802B2 (en) 2021-02-18 2023-06-27 United Microelectronics Corp. High electron mobility transistor and fabrication method thereof
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070134862A1 (en) * 2005-09-12 2007-06-14 Jong Won Lim Method of fabricating pseudomorphic high electron mobility transistor
US20080157121A1 (en) * 2006-12-28 2008-07-03 Fujitsu Limited High speed high power nitride semiconductor device
US20080284022A1 (en) * 2006-12-12 2008-11-20 Sanken Electric Co., Ltd. Semiconductor device and method for manufacturing the same
US20100210080A1 (en) * 2009-02-18 2010-08-19 Furukawa Electric Co., Ltd. Method of manufacturing gan-based transistors

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1995034916A1 (fr) * 1994-06-15 1995-12-21 Seiko Epson Corporation Fabrication d'un equipement a semi-conducteurs a couches minces, equipement a semi-conducteurs a couches minces, afficheur a cristaux liquides et equipement electronique
US6933181B2 (en) * 2002-07-17 2005-08-23 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4179539B2 (ja) * 2003-01-15 2008-11-12 富士通株式会社 化合物半導体装置及びその製造方法
US7612390B2 (en) * 2004-02-05 2009-11-03 Cree, Inc. Heterojunction transistors including energy barriers
EP1737044B1 (en) * 2004-03-12 2014-12-10 Japan Science and Technology Agency Amorphous oxide and thin film transistor
US20050248270A1 (en) * 2004-05-05 2005-11-10 Eastman Kodak Company Encapsulating OLED devices
US7859014B2 (en) 2004-06-24 2010-12-28 Nec Corporation Semiconductor device
US7238560B2 (en) 2004-07-23 2007-07-03 Cree, Inc. Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
US7709269B2 (en) * 2006-01-17 2010-05-04 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes
KR100822799B1 (ko) * 2006-04-25 2008-04-17 삼성전자주식회사 나노크기의 도전성 구조물을 위한 선택적인 촉매 형성 방법및 선택적인 나노크기의 도전성 구조물 형성 방법
EP2065925B1 (en) 2006-09-20 2016-04-20 Fujitsu Limited Field-effect transistor
WO2008041277A1 (fr) * 2006-09-29 2008-04-10 Fujitsu Limited Dispositif à semi-conducteur a base de composé et processus de fabrication correspondant
JP2008103408A (ja) 2006-10-17 2008-05-01 Furukawa Electric Co Ltd:The 窒化物化合物半導体トランジスタ及びその製造方法
US20080119098A1 (en) * 2006-11-21 2008-05-22 Igor Palley Atomic layer deposition on fibrous materials
JP2009010107A (ja) * 2007-06-27 2009-01-15 Oki Electric Ind Co Ltd 半導体装置及びその製造方法
US7968885B2 (en) * 2007-08-07 2011-06-28 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
JP2009049121A (ja) * 2007-08-17 2009-03-05 Oki Electric Ind Co Ltd ヘテロ接合型電界効果トランジスタ及びその製造方法
KR101487787B1 (ko) 2007-08-21 2015-02-06 삼성전자주식회사 진공청소기용 흡입브러시
JP5309532B2 (ja) * 2007-11-08 2013-10-09 サンケン電気株式会社 窒化物系化合物半導体装置
US20100117118A1 (en) * 2008-08-07 2010-05-13 Dabiran Amir M High electron mobility heterojunction device
JP5468761B2 (ja) * 2008-09-25 2014-04-09 古河電気工業株式会社 半導体装置、ウエハ構造体および半導体装置の製造方法
JP5552753B2 (ja) * 2008-10-08 2014-07-16 ソニー株式会社 薄膜トランジスタおよび表示装置
KR20100041179A (ko) * 2008-10-13 2010-04-22 매그나칩 반도체 유한회사 유전체, 이를 구비한 캐패시터 및 그 제조방법, 반도체 소자 제조방법
JP2010098076A (ja) * 2008-10-15 2010-04-30 Sumitomo Electric Device Innovations Inc 半導体装置の製造方法
WO2011043218A1 (en) * 2009-10-09 2011-04-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
KR101803554B1 (ko) * 2009-10-21 2017-11-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 제작방법
WO2011135987A1 (en) * 2010-04-28 2011-11-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8772842B2 (en) * 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
KR20140067147A (ko) * 2011-09-27 2014-06-03 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 텅스텐 디아자부타디엔 전구체, 그들의 합성, 및 텅스텐 함유 필름 침착을 위한 그들의 용도

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070134862A1 (en) * 2005-09-12 2007-06-14 Jong Won Lim Method of fabricating pseudomorphic high electron mobility transistor
US20080284022A1 (en) * 2006-12-12 2008-11-20 Sanken Electric Co., Ltd. Semiconductor device and method for manufacturing the same
US20080157121A1 (en) * 2006-12-28 2008-07-03 Fujitsu Limited High speed high power nitride semiconductor device
US20100210080A1 (en) * 2009-02-18 2010-08-19 Furukawa Electric Co., Ltd. Method of manufacturing gan-based transistors

Also Published As

Publication number Publication date
CN102456730A (zh) 2012-05-16
US20150279956A1 (en) 2015-10-01
JP2012089677A (ja) 2012-05-10
US20120091522A1 (en) 2012-04-19
TW201220502A (en) 2012-05-16
CN102456730B (zh) 2016-02-03
US9608083B2 (en) 2017-03-28
JP5636867B2 (ja) 2014-12-10

Similar Documents

Publication Publication Date Title
TWI487109B (zh) 半導體裝置及其製造方法
Hashizume et al. Effects of nitrogen deficiency on electronic properties of AlGaN surfaces subjected to thermal and plasma processes
US20220209000A1 (en) High-threshold-voltage normally-off high-electron-mobility transistor and preparation method therefor
KR101108344B1 (ko) 캡층 및 리세스된 게이트를 가지는 질화물계트랜지스터들의 제조방법들
CA2666519C (en) Methods of fabricating semiconductor devices including implanted regions for providing low-resistance contact to buried layers and related devices
US8354312B2 (en) Semiconductor device fabrication method
CN101252088B (zh) 一种增强型A1GaN/GaN HEMT器件的实现方法
JP5665171B2 (ja) Iii族窒化物半導体電子デバイス、iii族窒化物半導体電子デバイスを作製する方法
US20110057232A1 (en) Semiconductor devices including shallow implanted regions and methods of forming the same
US20070164315A1 (en) Cap Layers Including Aluminum Nitride for Nitride-Based Transistors and Methods of Fabricating Same
JP2014022752A (ja) 窒化物系半導体素子及びその製造方法
JP5309532B2 (ja) 窒化物系化合物半導体装置
KR20110005775A (ko) 반도체 장치 및 반도체 장치의 제조 방법
TWI641133B (zh) 半導體單元
JP2007150106A (ja) Iii族窒化物半導体基板
JP2019012827A (ja) 窒化ガリウム系の半導体装置及びその製造方法
JP6085178B2 (ja) Mes構造トランジスタを作製する方法、mes構造トランジスタ
US20160079371A1 (en) Semiconductor device
CN111584628B (zh) 增强型GaN HEMT器件及其制备方法
JP7308593B2 (ja) 窒化物半導体装置
JP2004363346A (ja) 半導体装置の製造方法
JP2009246307A (ja) 半導体装置及びその製造方法
JP2018101755A (ja) ヘテロ接合電界効果型トランジスタおよびその製造方法
US9236441B2 (en) Nitride-based semiconductor device and method for manufacturing the same
JP2018113286A (ja) 半導体装置