JP5636867B2 - 半導体装置及び半導体装置の製造方法 - Google Patents

半導体装置及び半導体装置の製造方法 Download PDF

Info

Publication number
JP5636867B2
JP5636867B2 JP2010234961A JP2010234961A JP5636867B2 JP 5636867 B2 JP5636867 B2 JP 5636867B2 JP 2010234961 A JP2010234961 A JP 2010234961A JP 2010234961 A JP2010234961 A JP 2010234961A JP 5636867 B2 JP5636867 B2 JP 5636867B2
Authority
JP
Japan
Prior art keywords
film
semiconductor layer
forming
insulating film
protective film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010234961A
Other languages
English (en)
Other versions
JP2012089677A (ja
Inventor
史朗 尾崎
史朗 尾崎
雅仁 金村
雅仁 金村
中村 哲一
哲一 中村
豊生 宮島
豊生 宮島
武田 正行
正行 武田
渡部 慶二
慶二 渡部
俊英 吉川
俊英 吉川
健治 今西
健治 今西
多木 俊裕
俊裕 多木
忠紘 今田
忠紘 今田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2010234961A priority Critical patent/JP5636867B2/ja
Priority to TW100137843A priority patent/TWI487109B/zh
Priority to US13/276,521 priority patent/US20120091522A1/en
Priority to CN201110326799.XA priority patent/CN102456730B/zh
Publication of JP2012089677A publication Critical patent/JP2012089677A/ja
Application granted granted Critical
Publication of JP5636867B2 publication Critical patent/JP5636867B2/ja
Priority to US14/735,050 priority patent/US9608083B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、半導体装置及び半導体装置の製造方法に関するものである。
電界効果型トランジスタとして、AlGaN/GaNヘテロ接合を利用し、GaN層を走行層とした構造のものがある。GaNは広いバンドギャップを有しており、高い破壊電圧強度、大きい飽和電子速度を有する材料であることから、大電流・高耐圧・低オン抵抗動作を実現することが可能な半導体装置を形成する材料として、有望とされている。このため、次世代における高効率スイッチング素子として、GaN系の材料を用いた半導体装置の検討が行なわれている。
このような電界効果型トランジスタ等の半導体装置においては、通常、ゲート電極またはドレイン電極等を形成した後、パッシベーション等のため、電界効果型トランジスタ等の表面の全体に絶縁膜が形成されている。
特開2008−103408号公報 米国特許出願公開第2006/0019435号明細書
ところで、トランジスタを用いた電力用の高効率なスイッチング素子を実現するためには、オン抵抗の低減、ノーマリーオフ動作の実現、スイッチング素子の高耐圧化が求められている。このうち、オン抵抗の低減、ノーマリーオフ動作の実現については、トランジスタを形成するGaN等の材料における結晶性を向上させること等により対応可能である。一方、スイッチング素子の高耐圧化に関しては、使用される用途等によっても異なるものの、一般的に、数100Vから数kVの大きな耐圧が必要となるため、ショットキーゲートを用いた構造では、実現することが困難である。このため、ゲート電極と半導体層との間に絶縁膜を形成することにより、ゲートリーク電流を低減し耐圧を向上させた構造のものがある。
このようなゲート電極と半導体層との間に絶縁膜を形成したトランジスタにおいても、パッシベーション等のための保護膜として絶縁膜が形成されるが、保護膜を形成することにより、トランジスタでは耐圧が低くなり、十分な耐圧が得られない場合がある。
よって、ゲート電極と半導体層との間に絶縁膜を形成したトランジスタ等の半導体装置において、保護膜として絶縁膜を形成した構造のものであっても十分な耐圧を得ることのできる半導体装置及び半導体装置の製造方法が求められている。
本実施の形態の一観点によれば、基板上に形成された第1の半導体層と、前記第1の半導体層上に形成された第2の半導体層と、前記第2の半導体層上に形成されたソース電極及びドレイン電極と、前記第2の半導体層上に形成された絶縁膜と、前記絶縁膜上に形成されたゲート電極と、前記絶縁膜を覆うように形成された保護膜と、を有し、前記保護膜は、トリメチルアルミニウムと水とを交互に供給することにより、熱ALDにより形成された酸化アルミニウム膜を含むものであることを特徴とする。
また、本実施の形態の他の一観点によれば、基板上に形成された第1の半導体層と、前記第1の半導体層上に形成された第2の半導体層と、前記第2の半導体層上に形成されたソース電極及びドレイン電極と、前記第2の半導体層または、前記第2の半導体層及び前記第1の半導体層に形成されたリセス開口部と、前記第2の半導体層上及び前記リセス開口部内に形成された絶縁膜と、前記リセス開口部内の前記絶縁膜上に形成されたゲート電極と、前記絶縁膜を覆うように形成された保護膜と、を有し、前記保護膜は、トリメチルアルミニウムと水とを交互に供給することにより、熱ALDにより形成された酸化アルミニウム膜を含むものであることを特徴とする。
また、本実施の形態の他の一観点によれば、基板上に第1の半導体層と、第2の半導体層を積層形成する工程と、前記第2の半導体層上にソース電極及びドレイン電極を形成する工程と、前記第2の半導体層上に絶縁膜を形成する工程と、前記絶縁膜上にゲート電極を形成する工程と、前記絶縁膜を覆うように保護膜を形成する工程と、を有し、前記保護膜を形成する工程は、トリメチルアルミニウムと水とを交互に供給することにより、熱ALDによって酸化アルミニウム膜を形成するものであることを特徴とする。
また、本実施の形態の他の一観点によれば、基板上に第1の半導体層と、第2の半導体層を積層形成する工程と、前記第2の半導体層上にソース電極及びドレイン電極を形成する工程と、前記第2の半導体層にリセス開口部を形成する工程と、前記第2の半導体層の上方及び前記リセス開口部内に絶縁膜を形成する工程と、前記リセス開口部内の前記絶縁膜上にゲート電極を形成する工程と、前記絶縁膜を覆うように保護膜を形成する工程と、を有し、前記保護膜を形成する工程は、トリメチルアルミニウムと水とを交互に供給することにより、熱ALDによって酸化アルミニウム膜を形成するものであることを特徴とする。
開示の半導体装置及び半導体装置の製造方法によれば、ゲート電極と半導体層との間に絶縁膜を形成したトランジスタ等の半導体装置において、保護膜として絶縁膜を形成した構造のものであっても十分な耐圧を得ることができる。
保護膜が形成されたHEMTの構造図 プラズマCVDにより保護膜が形成されたHEMTのゲート電流の特性図 保護膜が形成される前の状態におけるHEMTのゲート電流の特性図 プラズマCVDにより保護膜が形成される場合の説明図 第1の実施の形態における半導体装置の製造方法の工程図(1) 第1の実施の形態における半導体装置の製造方法の工程図(2) 第1の実施の形態における半導体装置の製造方法の工程図(3) 第2の実施の形態における半導体装置の製造方法の工程図(1) 第2の実施の形態における半導体装置の製造方法の工程図(2) 第2の実施の形態における半導体装置の製造方法の工程図(3) 第2の実施の形態における半導体装置の製造方法の工程図(4) 第3の実施の形態における半導体装置の保護膜の製造方法のフローチャート 成膜された酸化アルミニウム膜BにおけるXPSによる測定結果を示す図 成膜された酸化アルミニウム膜AにおけるXPSによる測定結果を示す図 成膜された酸化アルミニウム膜における温度と脱離水分量の相関図 成膜された保護膜の耐圧測定結果を示す図 保護膜の耐圧測定方法の説明図
発明を実施するための形態について、以下に説明する。尚、同じ部材等については、同一の符号を付して説明を省略する。
〔第1の実施の形態〕
最初に、ゲート電極と半導体層との間に絶縁膜を形成した構造のトランジスタにおいて、保護膜として絶縁膜を形成した構造のトランジスタについて説明する。図1に示されるように、この構造のトランジスタは、HEMT(High Electron Mobility Transistor)と呼ばれるものであり、半導体等からなる基板11上に、電子走行層12、障壁層13、キャップ層14がエピタキシャル成長により積層して形成されている。また、障壁層13と接続されるソース電極15及びドレイン電極16が形成されており、キャップ層14上には、絶縁膜17が形成されており、絶縁膜17上にはゲート電極18が形成されている。更に、絶縁膜17を含む領域の全体を覆うように保護膜19が形成されている。
基板11はSiC基板、サファイア(Al)基板等が用いられており、電子走行層12はi−GaNにより形成されており、障壁層13はn−AlGaNにより形成されており、キャップ層14はn−GaNにより形成されている。また、ソース電極15、ドレイン電極16及びゲート電極18は金属材料により形成されており、絶縁膜17は、プラズマALD(Atomic Layer Deposition)により酸化アルミニウム(Al)膜を成膜することにより形成されている。また、保護膜19は、窒化シリコン(SiN)、酸化シリコン(SiO)、酸化アルミニウム等により形成される。保護膜19を形成する際には、スループットの向上等の観点より、一般的には、成膜レートが速いプラズマCVD(Chemical Vapor Deposition)が多く用いられている。
図2には、このように保護膜の形成されたトランジスタにおけるゲート−ソース電圧(Vgs)とゲート−ソース電流(Igs)との関係及びゲート−ドレイン電圧(Vgd)とゲート−ドレイン電流(Igd)との関係を示す。また、図3には、保護膜19が成膜される前の状態のトランジスタにおけるゲート−ソース電圧(Vgs)とゲート−ソース電流(Igs)との関係及びゲート−ドレイン電圧(Vgd)とゲート−ドレイン電流(Igd)との関係を示す。
図2及び図3に示されるように、保護膜19を成膜する前の状態では、ゲート−ソース電流(Igs)及びゲート−ドレイン電流(Igd)は、約10nA/mm以下と低い値を示しているのに対し、保護膜19を成膜することによりともに大幅に増加している。即ち、保護膜19を形成した構造のトランジスタは、保護膜19が形成されていない構造のトランジスタと比較して、ゲートリーク電流が大幅に増加している。言い換えるならば、保護膜19を形成することにより、トランジスタのゲートリーク電流が増加し、特性が低下してしまうのである。尚、同様の傾向は、絶縁膜17にHfOを用いた場合においても確認されている。
発明者らは、このように保護膜19を形成することによりゲートリーク電流が大幅に増加してしまうことについて検討を行なったところ、保護膜19の成膜方法に原因があることを見出した。
Figure 0005636867
表1は、保護膜19となる酸化アルミニウム膜の成膜方法と、ソース−ドレイン間における絶縁耐圧との関係を示すものである。表1に示されるように、保護膜19が形成されていない状態において絶縁耐圧が390Vであるトランジスタに、保護膜19として酸化アルミニウム膜をプラズマCVDにより成膜した場合、絶縁耐圧は150Vと大幅に低下した。また、保護膜19が形成されていない状態において絶縁耐圧が400Vであるトランジスタに、保護膜19として酸化アルミニウム膜をプラズマCVDにより成膜した場合、絶縁耐圧は200Vに低下した。また、保護膜19が形成されていない状態において絶縁耐圧が380Vであるトランジスタに、保護膜19として酸化アルミニウム膜をスパッタリングにより成膜した場合、絶縁耐圧は140Vに低下した。一方、保護膜19が形成されていない状態において絶縁耐圧が400Vであるトランジスタに、保護膜19として酸化アルミニウム膜を熱ALDにより成膜した場合、絶縁耐圧は変化することなく400Vであった。尚、熱ALDとは、プラズマを発生させることなく、加熱された基板に、成膜のための原料となるガスを交互に供給することにより成膜を行なう方法である。
上記に基づくならば、前述したように保護膜19を成膜したトランジスタにおいて、ゲートリーク電流が増加する原因は、保護膜19となる酸化アルミニウム膜をプラズマCVDにより成膜することに起因するものと推察される。
また、プラズマCVD、プラズマALD及びスパッタリングは、成膜の際にプラズマを用いるプラズマプロセスであるのに対し、熱ALDは、成膜の際にプラズマを用いないプロセスであるという特徴がある。
従って、プラズマプロセスにより保護膜19となる酸化アルミニウム膜を成膜することにより、絶縁耐圧が低下し、トランジスタのゲートリーク電流が増加するものと考えられる。このため、保護膜19となる酸化アルミニウム膜を熱ALDにより成膜した場合には、絶縁耐圧が低下しない。従って、保護膜19となる酸化アルミニウム膜を熱ALD等のプラズマを用いない成膜プロセスにより成膜することにより、ゲートリーク電流の増加を防ぐことができるものと考えられる。ここで、プラズマを用いない保護膜の成膜方法としては、熱ALD、熱CVD、真空蒸着(抵抗加熱、EB(Electron Beam)蒸着の双方を含む)等が挙げられる。
次に、プラズマCVD等のプラズマプロセスにより保護膜19となる酸化アルミニウム膜を成膜した場合において、ゲートリーク電流が増加するメカニズムについて説明する。図4は、絶縁膜17及びゲート電極18が形成されているものの上に、プラズマを用いた成膜方法により保護膜19を成膜している状態を示すものである。このように、プラズマを用いた成膜方法においては、プラズマ30を発生させることにより生じた荷電粒子が、ゲート電極18から絶縁膜17に侵入し、この荷電粒子によって絶縁膜17内に欠陥が発生し、ゲートリーク電流が増加することが考えられる。また、露出している絶縁膜17がプラズマに曝されるため、絶縁膜17の表面部分において、プラズマダメージによる欠陥等が生じ、ゲートリーク電流が増加することも考えられる。このような観点から考えるならば、絶縁膜17に金属酸化物、酸窒化物、窒化物を用いた場合においても同様に、プラズマCVD等のプラズマプロセスにより保護膜19を成膜することにより耐圧が低下するものと考えられる。
ところで、このように保護膜19をプラズマCVD等のプラズマプロセスにより成膜した場合のトランジスタにおける耐圧の低下は、従来から生じていたものと考えられる。しかしながら、このことが従来問題にならなかったのは、従来トランジスタに用いていた半導体材料が、Si、GaAs等であり、GaN等と比べてバンドギャップが狭い材料であることによるものと考えられる。即ち、上述した耐圧の低下が問題となる電圧の範囲に対し、実際に使用される電圧の範囲が低いため、保護膜19をプラズマCVD等のプラズマプロセスにより成膜したことに起因する耐圧の低下が、実用上問題になることはなかったものと考えられる。言い換えるならば、半導体材料として、バンドギャップの広いGaNを用いることにより、プラズマCVD等のプラズマプロセスにより保護膜19を形成した場合に生じていた耐圧の低下の問題が顕在化したものと考えられる。
尚、プラズマALDにより酸化アルミニウム膜を成膜する方法としては、原料として、TMA(トリメチルアルミニウム:(CHAl)と、酸素を供給しプラズマを発生させることにより行なわれる。また、プラズマALDにより酸化アルミニウム膜を成膜する方法としては、原料として、TMAと、酸素プラズマとを交互に供給することにより行なわれる。また、スパッタリングにより酸化アルミニウム膜を成膜する方法としては、ターゲットとして酸化アルミニウムを用いスパッタガスとしてArと酸素を用いてスパッタリングを行なう方法、または、ターゲットとしてAlを用いスパッタガスとしてArと酸素を用いてスパッタリングを行なう方法により行なわれる。更に、熱ALDにより酸化アルミニウム膜を成膜する方法としては、基板を加熱した状態において、原料として、TMAと、水とを交互に供給することにより行なわれる。よって、熱ALDにおいては、成膜チャンバー内においてプラズマが発生されることはない。
ところで、プラズマCVDにより成膜された絶縁膜には、成膜された絶縁膜中には水素分子が5×1020個/cm以上含まれている。また、プラズマALDにより成膜された絶縁膜には、成膜された絶縁膜中に含まれる水素分子が1×1020個/cm以下であり、水分子が1×1020個/cm以下である。また、熱ALDにより成膜された絶縁膜には、成膜された絶縁膜中に含まれる水素分子が1×1020個/cm以下であり、水分子が1×1020個/cm以上である。このように、絶縁膜中における水素分子の量及び水分子の量を測定することにより、成膜方法を特定することが可能である。
(半導体装置の製造方法)
次に、本実施の形態における半導体装置の製造方法について図5から図7に基づき説明する。
最初に、図5(a)に示されるように、基板11上に、不図示の核形成層を形成し、電子走行層12、障壁層13、キャップ層14等の半導体層をMOVPE(Metal-Organic Vapor Phase Epitaxy)等によりエピタキシャル成長させることにより形成する。
基板11は、SiC、サファイア(Al)等の基板が用いられており、基板11上に形成される不図示の核形成層は、例えば、厚さ0.1μmのノンドープのi−AlNにより形成されている。第1の半導体層である電子走行層12は、厚さ3.0μmのノンドープのi−GaNにより形成されており、第2の半導体層である障壁層13は、厚さ20nmノンドープのi−Al0.25Ga0.75Nにより形成されている。また、第3の半導体層であるキャップ層14は、厚さ5nmのn−GaNにより形成されている。尚、上記構造により、電子走行層12において障壁層13に近い側に2次元電子ガス(2DEG:2 dimensional electron gas)が形成される。
これらの半導体層をMOVPEにより形成する際には、原料ガスとしてTMA(トリメチルアルミニウム)、TMG(トリメチルガリウム)、アンモニア(NH)等を用い、形成される半導体層の組成に応じて、これらのガスの供給量を調節することにより形成する。尚、これらの半導体層を形成する際に流されるアンモニアの流量は、100ccm〜10LMであり、これら半導体層を結晶成長させる際のチャンバー内の圧力は、50〜300Torrであり、成長温度は1000〜1200℃である。また、障壁層13は、Al0.25Ga0.75Nにn型となる不純物をドープしたものであってもよい。また、半導体層は、MOVPEの他、MBE(Molecular Beam Epitaxy)により半導体層を結晶成長させることにより形成してもよい。また、障壁層13は、AlGaN以外にも、InGaN、InAlN、InAlGaN等により形成することも可能である。
次に、図5(b)に示されるように、素子分離領域21を形成する。具体的には、キャップ層14の表面にフォトレジストを塗布し、露光装置による露光、現像を行なうことにより素子分離領域21が形成される領域に開口部を有するレジストパターンを形成する。この後、形成されたレジストパターンをマスクとして、電子走行層13まで達するように不純物イオンのイオン注入を行なうことにより、素子分離領域21を形成する。尚、レジストパターンは、この後除去する。また、別の素子分離領域21の形成方法としては、レジストパターンをマスクとしてドライエッチング等によりレジストパターンが形成されていない領域におけるキャップ層14、障壁層13及び電子走行層12の一部を除去することにより形成してもよい。この後、必要に応じて半導体層の除去された領域に酸化膜を埋め込み形成する。
次に、図6(a)に示されるように、ソース電極15及びドレイン電極16を形成する。具体的には、キャップ層14上にフォトレジストを塗布し、露光装置による露光、現像を行なうことにより、ソース電極15及びドレイン電極16が形成される領域に開口部を有するレジストパターンを形成する。この後、塩素ガスを用いたRIE等によるドライエッチングによりレジストパターンの形成されていない領域のキャップ層14及び障壁層13の一部を除去する。この際行なわれるドライエッチングは、チャンバー内にエッチングガスとして塩素ガスを約30sccmを導入し、チャンバー内の圧力を約2Paに設定し、RFパワーを20W印加することにより行なわれる。この後、真空蒸着等によりTa/Alの積層膜等からなる金属膜を成膜した後、リフトオフによりレジストパターンの形成されている領域の金属膜をレジストパターンとともに除去することによりソース電極15及びドレイン電極16を形成する。尚、リフトオフを行なった後580℃の熱処理を行なうことによりオーミックコンタクトさせる。
次に、図6(b)に示されるように、キャップ層14、ソース電極15及びドレイン電極16上に、絶縁膜17を形成する。絶縁膜17としては、シリコン、アルミニウム、ハフニウム、タンタル、ジルコニウム、イットリウム、ランタン、タンタルの酸化物、シリコン、アルミニウムの窒化物、または、シリコンの酸窒化物のうち、いずれか1または2以上の材料を含むものである。尚、形成される絶縁膜17としては、比誘電率が高い材料が好ましく、また、実用上の観点からは、SiO、SiN、Al、SiON、HfOがより好ましい。形成される絶縁膜17の膜厚は、2nm〜200nmであり、成膜方法としては、プラズマALD、プラズマCVD、スパッタリングにより成膜される。例えば、プラズマCVDにより酸化アルミニウムからなる絶縁膜17を形成する場合では、原料ガスとしてトリメチルアルミニウム(TMA)と酸素を供給し、プラズマを発生させることにより成膜する。
次に、図7(a)に示されるように、ゲート電極18を形成する。具体的には、絶縁膜17上に、フォトレジストを塗布し、露光装置による露光、現像を行なうことにより、ゲート電極18の形成される領域に開口部を有するレジストパターンを形成する。この後、真空蒸着等によりNi/Auからなる積層膜等からなる金属膜を成膜した後、リフトオフによりレジストパターンの形成されている領域の金属膜をレジストパターンとともに除去することによりゲート電極18を形成する。
次に、図7(b)に示されるように、保護膜20を形成する。保護膜20は、シリコン、アルミニウム、ハフニウム、タンタル、ジルコニウム、イットリウム、ランタン、タンタルの酸化物、シリコン、アルミニウムの窒化物、または、シリコンの酸窒化物のうち、いずれか1または2以上の材料を含むものである。保護膜20は、成膜の際にプラズマを用いない成膜方法により形成される。例えば、熱ALD、熱CVD、真空蒸着等の方法により形成される。尚、酸化アルミニウムからなる保護膜20を熱ALDにより形成する場合においては、基板を200℃〜400℃に加熱した状態で、トリメチルアルミニウムと水を交互に供給することにより形成する。
これにより、本実施の形態における半導体装置を製造することができる。本実施の形態における半導体装置では、保護膜20がプラズマを発生させない成膜方法により成膜しているため、保護膜20が形成されたトランジスタにおいても耐圧が低下することがない。
〔第2の実施の形態〕
次に、第2の実施の形態について説明する。本実施の形態における半導体装置の製造方法について、図8から図10に基づき説明する。
最初に、図8(a)に示されるように、基板11上に、不図示の核形成層を形成し、電子走行層12、障壁層13、キャップ層14等の半導体層をMOVPE(Metal-Organic Vapor Phase Epitaxy)等によりエピタキシャル成長させることにより形成する。
基板11は、SiC、サファイア(Al)等の基板が用いられており、基板11上に形成される不図示の核形成層は、例えば、厚さ0.1μmのノンドープのi−AlNにより形成されている。電子走行層12は、厚さ3.0μmのノンドープのi−GaNにより形成されており、障壁層13は、厚さ20nmノンドープのi−Al0.25Ga0.75Nにより形成されている。また、キャップ層14は、厚さ5nmのn−GaNにより形成されている。
次に、図8(b)に示されるように、素子分離領域21を形成する。具体的には、キャップ層14の表面にフォトレジストを塗布し、露光装置による露光、現像を行なうことにより素子分離領域21が形成される領域に開口部を有するレジストパターンを形成する。この後、形成されたレジストパターンをマスクとして、電子走行層13まで達するように不純物イオンのイオン注入を行なうことにより、素子分離領域21を形成する。
次に、図9(a)に示されるように、ソース電極15及びドレイン電極16を形成する。具体的には、キャップ層14上にフォトレジストを塗布し、露光装置による露光、現像を行なうことにより、ソース電極15及びドレイン電極16が形成される領域に開口部を有するレジストパターンを形成する。この後、塩素ガスを用いたRIE等によるドライエッチングによりレジストパターンの形成されていない領域のキャップ層14及び障壁層13の一部を除去する。この後、真空蒸着等によりTa/Alの積層膜等からなる金属膜を成膜した後、リフトオフによりレジストパターンの形成されている領域の金属膜をレジストパターンとともに除去することによりソース電極15及びドレイン電極16を形成する。尚、リフトオフを行なった後580℃の熱処理を行なうことによりオーミックコンタクトさせる。
次に、図9(b)に示されるように、リセス開口部31を形成する。具体的には、キャップ層14上にフォトレジストを塗布し、露光装置による露光、現像を行なうことにより、リセス開口部31の形成される領域に開口を有するレジストパターンを形成する。この後、レジストパターンをマスクとして、塩素を含むガスを導入してRIE等によるドライエッチングにより、レジストパターンの形成されていない領域のキャップ層14及び障壁層13の一部を除去する。この後、レジストパターンは除去される。尚、本実施の形態では、上述したRIE等によるドライエッチングの際には、酸素またはフッ素を混合してもよい。また、リセス開口部31は、キャップ層14の一部を除去することによりに形成した構造のもの、また、キャップ層14、障壁層13、電子走行層12の一部を除去することにより形成した構造のものであってもよい。
次に、図10(a)に示されるように、リセス開口部31の表面、キャップ層14、ソース電極15及びドレイン電極16上に、絶縁膜32を形成する。絶縁膜32は、シリコン、アルミニウム、ハフニウム、タンタル、ジルコニウム、イットリウム、ランタン、タンタルの酸化物、シリコン、アルミニウムの窒化物、または、シリコンの酸窒化物のうち、いずれか1または2以上の材料を含むもの等である。
尚、形成される絶縁膜17としては、比誘電率が高い材料が好ましく、また、実用上の観点からは、SiO、SiN、Al、SiON、HfOがより好ましい。形成される絶縁膜17の膜厚は、2nm〜200nmであり、成膜方法としては、プラズマALD、プラズマCVD、スパッタリングにより成膜される。例えば、プラズマCVDにより酸化アルミニウムからなる絶縁膜32を形成する場合では、原料ガスとしてトリメチルアルミニウム(TMA)と酸素を供給し、プラズマを発生させることにより成膜する。
次に、図10(b)に示されるように、ゲート電極33を形成する。具体的には、絶縁膜32上に、フォトレジストを塗布し、露光装置による露光、現像を行なうことにより、リセス開口部31を含む領域に開口を有するレジストパターンを形成する。この後、真空蒸着等によりNi/Auからなる積層膜等からなる金属膜を成膜した後、リフトオフによりレジストパターンの形成されている領域の金属膜をレジストパターンとともに除去することによりゲート電極33を形成する。
次に、図11に示されるように、保護膜34を形成する。保護膜34は絶縁体材料からなる膜であって、例えば、酸化アルミニウムが好ましい。保護膜34は、成膜の際にプラズマを用いない成膜方法により形成される。例えば、熱ALD、熱CVD、真空蒸着等の方法により形成される。尚、酸化アルミニウムからなる保護膜34を熱ALDにより形成する場合においては、基板温度を200℃〜400℃に加熱した状態で、TMAと水を交互に供給することにより形成する。
これにより、本実施の形態における半導体装置を製造することができる。
尚、上記以外の内容については、第1の実施の形態と同様である。
〔第3の実施の形態〕
次に、第3の実施の形態について説明する。ところで、絶縁膜上に保護膜を形成することにより、耐圧が低下する理由としては、絶縁膜と保護膜との熱膨張率の差に起因する場合や、保護膜の成膜の際に生じる応力に起因する場合や、絶縁膜と保護膜との間等に水分が残留することに起因する場合が考えられる。
このような観点に基づくならば、絶縁膜と保護膜とをともに金属酸化膜により形成することにより、絶縁膜と保護膜との熱膨張係数の差を2ppm以下に低減することが可能である。更には、絶縁膜と保護膜をともに同じ材料により形成することにより、絶縁膜と保護膜との差を略0にすることができる。この際用いられる金属酸化膜としては、アルミニウム、ハフニウム、ジルコニウム、イットリウム、ランタン、タンタルのうち、1または2以上の元素を含む金属酸化膜が挙げられる。また、耐圧を高めるため、絶縁膜と保護膜は、アモルファス状態であることが好ましい。
次に、本実施の形態における半導体装置の製造方法について説明する。本実施の形態における半導体装置の製造方法は、第2の実施の形態における半導体装置の製造方法において保護膜34の成膜方法が異なるものである。図12に基づき保護膜34の成膜方法について説明する。
最初に、ステップ102(S102)に示すように、熱ALDまたは熱CVDにより、酸化アルミニウムからなる膜を50nm成膜する。成膜される酸化アルミニウムからなる膜の膜厚は、10nm以上、50nm以下であることが好ましい。成膜される酸化アルミニウムからなる膜の膜厚が10nm以下では、生産性の観点から実用性に乏しいからである。また、50nmを超える膜厚で成膜した場合、後述する熱処理の際に穴が発生してしまう。この穴は、脱離水分の影響により発生するものと考えられ、膜厚が厚くなるほど発生頻度が高くなり、また、経験上、膜厚が50nm以下であれば、穴が発生し難いという知見が得られている。よって、一回に形成される酸化アルミニウムからなる膜の膜厚は50nm以下であることが好ましい。
次に、ステップ104(S104)に示すように、700℃で熱処理を行なう。熱処理温度は、後述する脱離水分の結果に基づき、500℃以上、800℃以下が好ましく、650℃以上、800℃以下がより好ましい。熱処理温度が800℃を超える場合、アモルファス状態から結晶化状態に変化する可能性があるため、熱処理の温度は800℃以下であることが好ましい。
次に、ステップ106(S106)に示すように、成膜された酸化アルミニウム膜が保護膜34として所定の膜厚となっているか否かが判断される。成膜された酸化アルミニウム膜が所定の膜厚になっているものと判断された場合には、保護膜34の成膜は終了する。一方、成膜された酸化アルミニウム膜が所定の膜厚に満たないものと判断された場合には、ステップ102に移行し、所定の膜厚になるまで酸化アルミニウムからなる膜の成膜と熱処理が繰り返される。
このような方法により、保護膜34を形成することにより、金属酸化膜の多層膜からな保護膜34を形成することができる。
次に、形成された保護膜34となる酸化アルミニウム膜のX線光電子分光(XPS:X-ray photoelectron spectroscopy)法による分析結果について説明する。尚、測定装置としては、AXIS−Hsi(島津製作所(株)製)を用いた。
図13には、熱CVDにより酸化アルミニウム膜を連続して成膜した場合を示し、図14には、図12に示される本実施の形態における保護膜の成膜方法により酸化アルミニウム膜を成膜した場合を示す。尚、酸化アルミニウム膜を成膜するための基板にはシリコン基板を用い、双方とも約200nmの酸化アルミニウム膜を成膜し測定を行なった。熱CVDにより連続して成膜された酸化アルミニウム膜Bでは、水酸基(AlOH)が32%含まれているのに対し、本実施の形態における保護膜の成膜方法により成膜された酸化アルミニウム膜Aでは、水酸基(AlOH)は18%含まれるものであった。このように本実施の形態における保護膜の成膜方法により酸化アルミニウム膜を成膜した場合では、大幅に含有する水酸基を低減させることができる。尚、金属酸化膜中に水酸基(−OH)が含まれると、水素結合により水分が吸着しやすくなるとともに、成膜プロセス等における熱履歴により水酸基同士が脱水縮合して水分が脱離してしまう。従って、酸化アルミニウム膜に含まれる水酸基は少ない方が好ましい。
次に、成膜された酸化アルミニウム膜について、加熱昇温脱ガス装置(EMD1000、電子化学(株)製)を用い、TDS(Thermal Desorption Spectroscopy)法により測定した結果を図15に示す。図15に示されるように、熱CVDにより酸化アルミニウム膜を連続して成膜した酸化アルミニウム膜Bでは、550℃以上加熱することにより、水酸基同士の脱水縮合による脱離水分が検出された。これに対し、本実施の形態における保護膜の成膜方法により酸化アルミニウム膜を成膜した酸化アルミニウム膜Aでは、脱離水分は殆ど検出されなかった。尚、酸化アルミニウム膜Bでは、500℃以上の温度で脱離水分が検出され始め、650℃では、検出される脱離水分の値が最大となる。よって、熱処理温度は、500℃以上、800℃以下が好ましく、650℃以上、800℃以下がより好ましい。
次に、成膜された保護膜について、絶縁耐圧試験を行なった結果を図16に示す。絶縁耐圧試験は、図17に示される試料を作製して行なった。この試料は、基板110上に酸化アルミニウム膜111を成膜し、酸化アルミニウム膜111上に電極112及び113を形成し、更に、電極112と電極113との間の酸化アルミニウム膜111上に測定の対象となる保護膜112を形成した構造のものである。このような試料の電極112及び113にI−Vメータ115に接続し試験を行なった。絶縁膜114としては、SiN膜、熱CVDにより連続して成膜した酸化アルミニウム膜B、本実施の形態における保護膜の成膜方法により成膜した酸化アルミニウム膜Aの各々が形成された試料を各々作製し測定を行なった。この結果、本実施の形態における保護膜の成膜方法により形成された酸化アルミニウム膜Aの試料が最も絶縁耐圧が高く、絶縁膜114が成膜されていないもの(酸化アルミニウム膜111と電極112及び113が形成されているもの)の耐圧と略等しい値であった。
尚、本実施の形態は、第1の実施の形態における半導体装置にも適用可能である、また、上記以外の内容については、第1の実施の形態、または、第2の実施の形態と同様である。
以上、実施の形態について詳述したが、特定の実施形態に限定されるものではなく、特許請求の範囲に記載された範囲内において、種々の変形及び変更が可能である。
上記の説明に関し、更に以下の付記を開示する。
(付記1)
基板上に形成された第1の半導体層と、
前記第1の半導体層上に形成された第2の半導体層と、
前記第2の半導体層上に形成されたソース電極及びドレイン電極と、
前記第2の半導体層上に形成された絶縁膜と、
前記絶縁膜上に形成されたゲート電極と、
前記絶縁膜を覆うように形成された保護膜と、
を有し、
前記保護膜は、熱CVD、熱ALD、真空蒸着のいずれかにより形成されたものであることを特徴とする半導体装置。
(付記2)
基板上に形成された第1の半導体層と、
前記第1の半導体層上に形成された第2の半導体層と、
前記第2の半導体層上に形成されたソース電極及びドレイン電極と、
前記第2の半導体層または、前記第2の半導体層及び前記第1の半導体層に形成されたリセス開口部と、
前記第2の半導体層上及び前記リセス開口部内に形成された絶縁膜と、
前記リセス開口部内の前記絶縁膜上に形成されたゲート電極と、
前記絶縁膜を覆うように形成された保護膜と、
を有し、
前記保護膜は、熱CVD、熱ALD、真空蒸着のいずれかにより形成されたものであることを特徴とする半導体装置。
(付記3)
前記保護膜は、金属酸化膜であることを特徴とする付記1または2に記載の半導体装置。
(付記4)
前記保護膜は、シリコン、アルミニウム、ハフニウム、タンタル、ジルコニウム、イットリウム、ランタン、タンタルの酸化物、シリコン、アルミニウムの窒化物、または、シリコンの酸窒化物のうち、いずれか1または2以上の材料を含むものであることを特徴とする付記1から3のいずれか1項に記載の半導体装置。
(付記5)
前記保護膜は、多層膜により形成されていることを特徴とする付記1から4のいずれか1項に記載の半導体装置。
(付記6)
前記絶縁膜は金属酸化膜であることを特徴とする付記1から5のいずれか1項に記載の半導体装置。
(付記7)
前記絶縁膜は、シリコン、アルミニウム、ハフニウム、タンタル、ジルコニウム、イットリウム、ランタン、タンタルの酸化物、シリコン、アルミニウムの窒化物、または、シリコンの酸窒化物のうち、いずれか1または2以上の材料を含むものであることを特徴とする付記1から5のいずれか1項に記載の半導体装置。
(付記8)
前記絶縁膜は、プラズマCVD、プラズマALDまたはスパッタリングにより形成されたものであることを特徴とする付記1から7のいずれか1項に記載の半導体装置。
(付記9)
前記保護膜と前記絶縁膜は、同じ材料を含むものであることを特徴とする付記1から8のいずれか1項に記載の半導体装置。
(付記10)
前記第2の半導体層と前記絶縁膜との間には、第3の半導体層が設けられていることを特徴とする付記1から9のいずれか1項に記載の半導体装置。
(付記11)
基板上に第1の半導体層と、第2の半導体層を積層形成する工程と、
前記第2の半導体層上にソース電極及びドレイン電極を形成する工程と、
前記第2の半導体層上に絶縁膜を形成する工程と、
前記絶縁膜上にゲート電極を形成する工程と、
前記絶縁膜を覆うように熱CVD、熱ALD、真空蒸着のいずれかにより保護膜を形成する工程と、
を有することを特徴とする半導体装置の製造方法。
(付記12)
基板上に第1の半導体層と、第2の半導体層を積層形成する工程と、
前記第2の半導体層上にソース電極及びドレイン電極を形成する工程と、
前記第2の半導体層にリセス開口部を形成する工程と、
前記第2の半導体層の上方及び前記リセス開口部内に絶縁膜を形成する工程と、
前記リセス開口部内の前記絶縁膜上にゲート電極を形成する工程と、
前記絶縁膜を覆うように熱CVD、熱ALD、真空蒸着のいずれかにより保護膜を形成する工程と、
を有することを特徴とする半導体装置の製造方法。
(付記13)
前記保護膜を形成する工程は、トリメチルアルミニウムと水とを交互に供給することにより、熱ALDによって酸化アルミニウム膜を形成するものであることを特徴とする付記11または12に記載の半導体装置の製造方法。
(付記14)
前記保護膜を形成する工程は、
10nm以上、50nm以下の膜厚の金属酸化膜を成膜する工程と、
前記金属酸化膜を成膜後、500℃以上、800℃以下の温度で熱処理を行なう工程と、
を繰り返し行なうものであることを特徴とする付記11から13のいずれか1項に記載の半導体装置の製造方法。
11 基板
12 電子走行層(第1の半導体層)
13 障壁層(第2の半導体層)
14 キャップ層
15 ソース電極
16 ドレイン電極
17 絶縁膜
18 ゲート電極
19 保護膜
20 保護膜

Claims (7)

  1. 基板上に形成された第1の半導体層と、
    前記第1の半導体層上に形成された第2の半導体層と、
    前記第2の半導体層上に形成されたソース電極及びドレイン電極と、
    前記第2の半導体層上に形成された絶縁膜と、
    前記絶縁膜上に形成されたゲート電極と、
    前記絶縁膜を覆うように形成された保護膜と、
    を有し、
    前記保護膜は、トリメチルアルミニウムと水とを交互に供給することにより、熱ALDにより形成された酸化アルミニウム膜を含むものであることを特徴とする半導体装置。
  2. 基板上に形成された第1の半導体層と、
    前記第1の半導体層上に形成された第2の半導体層と、
    前記第2の半導体層上に形成されたソース電極及びドレイン電極と、
    前記第2の半導体層または、前記第2の半導体層及び前記第1の半導体層に形成されたリセス開口部と、
    前記第2の半導体層上及び前記リセス開口部内に形成された絶縁膜と、
    前記リセス開口部内の前記絶縁膜上に形成されたゲート電極と、
    前記絶縁膜を覆うように形成された保護膜と、
    を有し、
    前記保護膜は、トリメチルアルミニウムと水とを交互に供給することにより、熱ALDにより形成された酸化アルミニウム膜を含むものであることを特徴とする半導体装置。
  3. 前記保護膜は、多層膜により形成されていることを特徴とする請求項1または2に記載の半導体装置。
  4. 前記保護膜と前記絶縁膜は、同じ材料を含むものであることを特徴とする請求項1からのいずれか1項に記載の半導体装置。
  5. 基板上に第1の半導体層と、第2の半導体層を積層形成する工程と、
    前記第2の半導体層上にソース電極及びドレイン電極を形成する工程と、
    前記第2の半導体層上に絶縁膜を形成する工程と、
    前記絶縁膜上にゲート電極を形成する工程と、
    前記絶縁膜を覆うように保護膜を形成する工程と、
    を有し、
    前記保護膜を形成する工程は、トリメチルアルミニウムと水とを交互に供給することにより、熱ALDによって酸化アルミニウム膜を形成するものであることを特徴とする半導体装置の製造方法。
  6. 基板上に第1の半導体層と、第2の半導体層を積層形成する工程と、
    前記第2の半導体層上にソース電極及びドレイン電極を形成する工程と、
    前記第2の半導体層にリセス開口部を形成する工程と、
    前記第2の半導体層の上方及び前記リセス開口部内に絶縁膜を形成する工程と、
    前記リセス開口部内の前記絶縁膜上にゲート電極を形成する工程と、
    前記絶縁膜を覆うように保護膜を形成する工程と、
    を有し、
    前記保護膜を形成する工程は、トリメチルアルミニウムと水とを交互に供給することにより、熱ALDによって酸化アルミニウム膜を形成するものであることを特徴とする半導体装置の製造方法。
  7. 前記保護膜を形成する工程は、
    10nm以上、50nm以下の膜厚の金属酸化膜を成膜する工程と、
    前記金属酸化膜を成膜後、500℃以上、800℃以下の温度で熱処理を行なう工程と、
    を繰り返し行なうものであることを特徴とする請求項5または6に記載の半導体装置の製造方法。
JP2010234961A 2010-10-19 2010-10-19 半導体装置及び半導体装置の製造方法 Active JP5636867B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2010234961A JP5636867B2 (ja) 2010-10-19 2010-10-19 半導体装置及び半導体装置の製造方法
TW100137843A TWI487109B (zh) 2010-10-19 2011-10-19 半導體裝置及其製造方法
US13/276,521 US20120091522A1 (en) 2010-10-19 2011-10-19 Semiconductor device and manufacturing method thereof
CN201110326799.XA CN102456730B (zh) 2010-10-19 2011-10-19 半导体器件及其制造方法
US14/735,050 US9608083B2 (en) 2010-10-19 2015-06-09 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010234961A JP5636867B2 (ja) 2010-10-19 2010-10-19 半導体装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2012089677A JP2012089677A (ja) 2012-05-10
JP5636867B2 true JP5636867B2 (ja) 2014-12-10

Family

ID=45933400

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010234961A Active JP5636867B2 (ja) 2010-10-19 2010-10-19 半導体装置及び半導体装置の製造方法

Country Status (4)

Country Link
US (2) US20120091522A1 (ja)
JP (1) JP5636867B2 (ja)
CN (1) CN102456730B (ja)
TW (1) TWI487109B (ja)

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012134206A (ja) * 2010-12-20 2012-07-12 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体装置およびその製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN104053626B (zh) * 2011-10-28 2017-06-30 意法半导体股份有限公司 用于制造针对氢氟酸蚀刻的保护层的方法、设置有该保护层的半导体器件及制造该半导体器件的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6054621B2 (ja) * 2012-03-30 2016-12-27 トランスフォーム・ジャパン株式会社 化合物半導体装置及びその製造方法
US8883021B2 (en) * 2012-03-30 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS nanostructures and methods of forming the same
JP6050018B2 (ja) 2012-04-04 2016-12-21 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US8912570B2 (en) 2012-08-09 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014097526A1 (ja) * 2012-12-20 2014-06-26 パナソニック株式会社 電界効果トランジスタおよびその製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6171435B2 (ja) 2013-03-18 2017-08-02 富士通株式会社 半導体装置及びその製造方法、電源装置、高周波増幅器
US9054027B2 (en) * 2013-05-03 2015-06-09 Texas Instruments Incorporated III-nitride device and method having a gate isolating structure
JP6136573B2 (ja) * 2013-05-27 2017-05-31 富士通株式会社 半導体装置及び半導体装置の製造方法
DE102013211360A1 (de) * 2013-06-18 2014-12-18 Robert Bosch Gmbh Halbleiter-Leistungsschalter und Verfahren zur Herstellung eines Halbleiter-Leistungsschalters
JP6135487B2 (ja) 2013-12-09 2017-05-31 富士通株式会社 半導体装置及び半導体装置の製造方法
US9934969B2 (en) 2014-01-31 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Charged-particle-beam patterning without resist
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9640620B2 (en) 2014-11-03 2017-05-02 Texas Instruments Incorporated High power transistor with oxide gate barriers
FR3031239B1 (fr) * 2014-12-30 2023-04-28 Thales Sa Passivation multicouche de la face superieure de l'empilement de materiaux semi-conducteurs d'un transistor a effet de champ.
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9553181B2 (en) 2015-06-01 2017-01-24 Toshiba Corporation Crystalline-amorphous transition material for semiconductor devices and method for formation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP2017059621A (ja) 2015-09-15 2017-03-23 三菱電機株式会社 半導体装置及びその製造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6567468B2 (ja) * 2016-06-20 2019-08-28 株式会社東芝 半導体装置、電源回路、及び、コンピュータ
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11637009B2 (en) * 2016-10-07 2023-04-25 Semiconductor Energy Laboratory Co., Ltd. Cleaning method of glass substrate, manufacturing method of semiconductor device, and glass substrate
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10276677B2 (en) * 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10804385B2 (en) 2018-12-28 2020-10-13 Vanguard International Semiconductor Corporation Semiconductor devices with fluorinated region and methods for forming the same
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20200398322A1 (en) * 2019-06-21 2020-12-24 Timothy J. Bogardus Novel Remediating Composition
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US11342440B2 (en) * 2019-07-22 2022-05-24 Northrop Grumman Systems Corporation Passivated transistors
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TWI733468B (zh) * 2020-05-25 2021-07-11 國立中山大學 提升高電子移動率電晶體的崩潰電壓之結構
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022165484A1 (en) * 2021-01-27 2022-08-04 Eugenus, Inc. Precursor delivery system and method for cyclic deposition
US11688802B2 (en) 2021-02-18 2023-06-27 United Microelectronics Corp. High electron mobility transistor and fabrication method thereof
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1269196C (zh) * 1994-06-15 2006-08-09 精工爱普生株式会社 薄膜半导体器件的制造方法
US6933181B2 (en) * 2002-07-17 2005-08-23 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4179539B2 (ja) * 2003-01-15 2008-11-12 富士通株式会社 化合物半導体装置及びその製造方法
US7612390B2 (en) * 2004-02-05 2009-11-03 Cree, Inc. Heterojunction transistors including energy barriers
JP4620046B2 (ja) * 2004-03-12 2011-01-26 独立行政法人科学技術振興機構 薄膜トランジスタ及びその製造方法
US20050248270A1 (en) * 2004-05-05 2005-11-10 Eastman Kodak Company Encapsulating OLED devices
JP5084262B2 (ja) 2004-06-24 2012-11-28 日本電気株式会社 半導体装置
US7238560B2 (en) * 2004-07-23 2007-07-03 Cree, Inc. Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
KR100631051B1 (ko) * 2005-09-12 2006-10-04 한국전자통신연구원 부정형 고 전자 이동도 트랜지스터의 제조 방법
US7709269B2 (en) * 2006-01-17 2010-05-04 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes
KR100822799B1 (ko) * 2006-04-25 2008-04-17 삼성전자주식회사 나노크기의 도전성 구조물을 위한 선택적인 촉매 형성 방법및 선택적인 나노크기의 도전성 구조물 형성 방법
WO2008035403A1 (en) 2006-09-20 2008-03-27 Fujitsu Limited Field-effect transistor
JP5088325B2 (ja) * 2006-09-29 2012-12-05 富士通株式会社 化合物半導体装置およびその製造方法
JP2008103408A (ja) 2006-10-17 2008-05-01 Furukawa Electric Co Ltd:The 窒化物化合物半導体トランジスタ及びその製造方法
US20080119098A1 (en) * 2006-11-21 2008-05-22 Igor Palley Atomic layer deposition on fibrous materials
JP5401758B2 (ja) * 2006-12-12 2014-01-29 サンケン電気株式会社 半導体装置及びその製造方法
JP5114947B2 (ja) * 2006-12-28 2013-01-09 富士通株式会社 窒化物半導体装置とその製造方法
JP2009010107A (ja) * 2007-06-27 2009-01-15 Oki Electric Ind Co Ltd 半導体装置及びその製造方法
US7968885B2 (en) * 2007-08-07 2011-06-28 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
JP2009049121A (ja) * 2007-08-17 2009-03-05 Oki Electric Ind Co Ltd ヘテロ接合型電界効果トランジスタ及びその製造方法
KR101487787B1 (ko) 2007-08-21 2015-02-06 삼성전자주식회사 진공청소기용 흡입브러시
JP5309532B2 (ja) * 2007-11-08 2013-10-09 サンケン電気株式会社 窒化物系化合物半導体装置
US20100117118A1 (en) * 2008-08-07 2010-05-13 Dabiran Amir M High electron mobility heterojunction device
JP5468761B2 (ja) * 2008-09-25 2014-04-09 古河電気工業株式会社 半導体装置、ウエハ構造体および半導体装置の製造方法
JP5552753B2 (ja) * 2008-10-08 2014-07-16 ソニー株式会社 薄膜トランジスタおよび表示装置
KR20100041179A (ko) * 2008-10-13 2010-04-22 매그나칩 반도체 유한회사 유전체, 이를 구비한 캐패시터 및 그 제조방법, 반도체 소자 제조방법
JP2010098076A (ja) * 2008-10-15 2010-04-30 Sumitomo Electric Device Innovations Inc 半導体装置の製造方法
JP5323527B2 (ja) * 2009-02-18 2013-10-23 古河電気工業株式会社 GaN系電界効果トランジスタの製造方法
WO2011043218A1 (en) * 2009-10-09 2011-04-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
KR20170143023A (ko) * 2009-10-21 2017-12-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 제작방법
WO2011135987A1 (en) * 2010-04-28 2011-11-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8772842B2 (en) * 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
WO2013046155A1 (en) * 2011-09-27 2013-04-04 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tungsten diazabutadiene precursors, their synthesis, and their use for tungsten containing film depositions

Also Published As

Publication number Publication date
TW201220502A (en) 2012-05-16
TWI487109B (zh) 2015-06-01
JP2012089677A (ja) 2012-05-10
CN102456730A (zh) 2012-05-16
US20150279956A1 (en) 2015-10-01
US9608083B2 (en) 2017-03-28
US20120091522A1 (en) 2012-04-19
CN102456730B (zh) 2016-02-03

Similar Documents

Publication Publication Date Title
JP5636867B2 (ja) 半導体装置及び半導体装置の製造方法
KR101357477B1 (ko) 화합물 반도체 장치 및 그 제조 방법
CN103545361B (zh) 化合物半导体器件及其制造方法、电源装置和高频放大器
JP6035007B2 (ja) Mis型の窒化物半導体hemt及びその製造方法
JP5634681B2 (ja) 半導体素子
KR101501825B1 (ko) 화합물 반도체 장치 및 그 제조 방법
JP5866769B2 (ja) 半導体装置、電源装置及び増幅器
TWI487036B (zh) 化合物半導體裝置及其製造方法
KR101365302B1 (ko) 화합물 반도체 장치 및 그 제조 방법
JP5664661B2 (ja) 半導体装置及び半導体装置の製造方法
TWI546957B (zh) 化合物半導體裝置及其製造方法
WO2012066701A1 (ja) 窒化物半導体装置
JP2007073555A (ja) 半導体素子
US8426260B2 (en) Compound semiconductor device and method of manufacturing the same
US20120320642A1 (en) Compound semiconductor device and method of manufacturing the same
EP2595181B1 (en) Compound semiconductor device and process for production thereof
CN103700700A (zh) 化合物半导体器件及其制造方法
JP6687831B2 (ja) 化合物半導体装置及びその製造方法
JP6880406B2 (ja) 化合物半導体装置及びその製造方法
KR101304828B1 (ko) 화합물 반도체 장치 및 그 제조 방법
JP6350599B2 (ja) 化合物半導体装置及びその製造方法
WO2015037288A1 (ja) 高電子移動度トランジスタ及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130805

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140630

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140701

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140825

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140924

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141007

R150 Certificate of patent or registration of utility model

Ref document number: 5636867

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150