TWI459440B - 微影應用中之雙型顯影用之全面性曝光製程 - Google Patents

微影應用中之雙型顯影用之全面性曝光製程 Download PDF

Info

Publication number
TWI459440B
TWI459440B TW099113316A TW99113316A TWI459440B TW I459440 B TWI459440 B TW I459440B TW 099113316 A TW099113316 A TW 099113316A TW 99113316 A TW99113316 A TW 99113316A TW I459440 B TWI459440 B TW I459440B
Authority
TW
Taiwan
Prior art keywords
radiation
exposure
sensitive material
substrate
layer
Prior art date
Application number
TW099113316A
Other languages
English (en)
Other versions
TW201108300A (en
Inventor
Carlos Fonseca
Mark Somervell
Steven Scheer
Wallace Printz
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201108300A publication Critical patent/TW201108300A/zh
Application granted granted Critical
Publication of TWI459440B publication Critical patent/TWI459440B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

微影應用中之雙型顯影用之全面性曝光製程 FLOOD EXPOSURE PROCESS FOR DUAL TONE DEVELOPMENT IN LITHOGRAPHIC APPLICATIONS 【相關申請案之交互參照】
本案係關於申請中之美國專利申請案序號12/198,850,其發明名稱為「METHOD OF PATTERNING A SUBSTRATE USING DUAL TONE DEVELOPMENT」,標籤編號為TEE-006,申請日為2008年8月26日。在此藉由參考文獻方式將此案之全部內容併入。
本發明係關於基板之圖案化方法,尤有關於利用雙型顯影之基板之圖案化方法。
在例如製造微電子裝置時所使用之材料處理方法中,通常利用圖案蝕刻以定義與各種不同之積體電路元件有關之精細圖案。圖案蝕刻包含將例如光阻之輻射敏感性材料之圖案層施加至基板之上表面之薄膜上,並藉由蝕刻而將形成於輻射敏感性材料中之圖案轉印至下層薄膜。
輻射敏感性材料之圖案化一般包含以輻射敏感性材料之薄膜塗佈基板之上表面,接著利用例如光微影系統,藉由自輻射源發出輻射經過遮罩,而將輻射敏感性材料之薄膜曝光至輻射圖案。之後,施行顯影製程,其間發生輻射敏感性材料之照射區域之移除(如同在正型光阻之情形中)、或者輻射敏感性材料之未照射區域之移除(如同在負型光阻之情形中)。剩餘之輻射敏感性材料則露出位於即將被蝕刻進入表面之圖案中之下層基板表面。
例如,就正型圖案顯影而言,典型之微影圖案化技術顯示於圖1A及1B。如圖1A所示,正型光阻層102形成於基板101上,將光阻層102經由遮罩103而曝光於電磁(EM)輻射107。遮罩103包含透明部分104及形成圖案之不透明部分108,如圖1A所示。不透明部分108之間的距離(或間距)109顯示於圖1A中。透明部分104使EM輻射107透射至正型光阻層102,而不透明部分108則防止EM輻射107透射至正型光阻層102;圖1A顯示具有曝光至EM輻射107之曝光部分105及未曝光至EM輻射107之未曝光部分106的正型光阻層102。如圖1A所示,不透明部分108映像至正型光阻層102上,以產生與未曝光部分106對準之對應光阻特徵部。
如圖1B所示,在移除正型光阻層102之曝光部分105之後,未曝光部分106仍留存於基板101上且形成由遮罩103轉印至基板101之圖案。如圖1A及1B所示,不透明部分108映像至正型光阻層102上,以產生對應光阻特徵部(即未曝光部分106)。如圖1A及1B所示,未曝光部分106之間的間距110係由遮罩103之不透明部分108之間的間距109加以決定。
舉另一例,就負型圖案顯影而言,典型之微影圖案化技術顯示於圖2A及2B。如圖2A所示,負型光阻層202形成於基板201上,將負型光阻層202經由遮罩203而曝光於電磁(EM)輻射207。遮罩203包含形成圖案之透明部分204及不透明部分208,如圖2A所示。透明部分204之間的距離(或間距)209顯示於圖2A中。透明部分204使EM輻射207透射至負型光阻層202,而不透明部分208則防止EM輻射207透射至負型光阻層202;圖2A顯示具有曝光至EM輻射207之曝光部分205及未曝光至EM輻射207之未曝光部分206的負型光阻層202。如圖2A所示,透明部分204映像至負型光阻層202上,以產生與曝光部分205對準之對應光阻特徵部。
如圖2B所示,在移除負型光阻層202之未曝光部分206之後,曝光部分205仍留存於基板201上且形成由遮罩203轉印至基板201之圖案。如圖2A及2B所示,透明部分204映像至負型光阻層202上,以產生對應光阻特徵部(即曝光部分205)。如圖2A及2B所示,曝光部分205之間的間距210係由遮罩203之透明部分204之間的間距209加以決定。
近三十年以來,用以施行上述材料處理方法之光微影系統已變成半導體裝置圖案化之棟樑,且預期將持續該角色向下至32 nm解析度以下。一般而言,在正型及負型圖案顯影兩者中,藉由微影系統而由遮罩轉印至基板之圖案之特徵部中心之間的最小距離(亦即間距)定義了圖案化解析度。
如上所述,光微影系統之圖案化解析度(ro )決定可利用該系統製造之裝置之最小尺寸。若已知微影常數k1 ,解析度即由下列方程式決定:
ro =k1 λ/NA (1)
其中λ為EM輻射之操作波長,NA為由以下方程式所給定之數值孔徑:
NA=n‧sinθo  (2)
角度θo 為光微影系統之角半孔徑(angular semi-aperture),且n為系統與待圖案化基板之間的空間之填充材料之折射率。
遵照(1)式,解析度改良之習知方法已造就光微影技術中之三趨勢:(1)波長λ由汞g線(436 nm)縮短至193 nm準分子雷射,且更進一步至157 nm又仍發展極紫外線(EUV)波長;(2)實施微影常數k1 已由約0.6之數值減少至接近0.25之數值的解析度增益技術(resolution enhancement techniques,RETs),例如相位移遮罩(phase-shifting masks)及偏軸發光(off-axis illumination);及(3)透過光學設計、製造技術及方法上之改良,而使數值孔徑(NA)增加。最近之此等改良已使NA由約0.35增加至大於1.35之數值。
浸潤式微影技術為增加光學系統(例如微影系統)之NA提供了另一可能性。在浸潤式微影技術中,係將基板沒入於高折射率之流體(亦稱為浸潤介質)中,俾使最後光學元件與基板之間的空間被高折射率流體(亦即n>1)所填塞。因此,浸潤藉由增加NA而提供增加解析度之可能性(見(1)及(2)式)。
然而,許多此等方法,包括EUV微影、RET微影、及浸潤微影,以為微影設備增加了可觀之成本及複雜性;再者,許多此等方法持續面臨著整合及擴大其解析度極限至更精細之設計節點方面之挑戰。
因此,光微影技術之另一趨勢為利用雙重圖案化方法,吾人已引進該方法,以容許較小之特徵部在比目前利用標準微影技術所能達到之更小間距下進行圖案化。一種減少特徵部尺寸之方法為在相同基板上使用標準微影圖案及蝕刻技術兩次,藉以形成彼此間隔緊密之較大特徵部,以達到比藉由單一曝光所可能完成之更小特徵部尺寸。在雙重圖案化期間,係將基板上之輻射敏感性材料層曝光至第一圖案,將第一圖案於輻射敏感性材料層中顯影,利用蝕刻製程將形成於輻射敏感性材料層中之第一圖案轉印至下層,接著重複此系列之步驟以製作第二圖案,同時相對於第一圖案而移動第二圖案。此處,雙重圖案化方法可能需要極多數目之步驟,包括退出塗佈/顯影機台及再施加第二輻射敏感性材料層。
使微影圖案之解析度加倍之另一方法為利用雙型顯影法,其中係將基板上之輻射敏感性材料層曝光至輻射圖案,接著藉由施行正型顯影及負型顯影而將雙重圖案顯影至輻射敏感性材料層。然而,目前雙型顯影法仍缺乏調整、控制及/或最佳化形成於基板上之雙重圖案之能力。
本發明係關於利用雙型顯影製程之基板圖案化方法與系統。該方法與系統包含基板之全面性曝光,以提升雙型顯影製程之製程寬容度(latitude)。
根據一實施例,說明利用雙型顯影製程之基板圖案化方法。該方法包含在基板上形成輻射敏感性材料層;之後,該方法包含施行輻射敏感性材料層之圖案化曝光;在施行圖案化曝光之後,施行第一曝後烤;及施行輻射敏感性材料層之正型顯影,以自基板移除第一輻射敏感性材料部分。此外,該方法包含施行輻射敏感性材料層之全面性曝光;在施行全面性曝光之後,施行第二曝後烤;及施行輻射敏感性材料層之負型顯影,以自基板移除第二輻射敏感性材料部分。
根據另一實施例,說明利用雙型顯影製程之基板圖案化平台。該平台包含:軌道系統,用以以輻射敏感性材料層塗佈基板;微影系統,包含用以將基板曝光至圖案化EM輻射之圖案曝光系統;全面性曝光系統,用以將基板曝光至未圖案化EM輻射;及運送系統,用以在軌道系統、圖案曝光系統、及全面性曝光系統之間運送基板。
茲將基板之圖案化方法與系統揭露於各種不同之實施例中。然而,熟悉相關技藝者將認知到:各種不同之實施例可在無一種以上特殊細節下實施,或者可有其他替代及/或額外方法、材料、或元件。在其他例子中,已知之結構、材料、或操作並未詳細顯示或描述,以避免混淆本發明之各種不同實施例之態樣。
同理,為解說故,將陳述特殊之符號、材料及構造,以提供對本發明之徹底瞭解。然而,本發明可在無特殊細節下實施;此外,應明瞭圖式中所示之各種不同實施例僅為例示性圖像,並不必然按照比例繪製。
整份說明書所稱「一實施例」或「實施例」或其變化表示法係意謂:結合實施例所描述之一特別特徵、結構、材料、或特性包含於本發明之至少一實施例中,但不表示其存在於每一實施例中。因此,在整份說明書之各處出現例如「在一實施例中」或「在實施例中」之用語並非必然指本發明之相同實施例;另外,在一個以上之實施例中,可以任何方式結合此特別特徵、結構、材料、或特性。本發明可包含各種額外之膜層及/或結構,且/或所述特徵可在其他實施例中予以省略。
各種操作將以最有助於瞭解本發明之方式、以多個分離之操作依序說明。然而,說明之順序不應被解讀為暗指此等操作必然為順序相依;尤其,此等操作不需要依照說明之順序加以實施,所述之操作可以不同於所述實施例之次序加以實施。本發明可實施各種不同之額外操作,且/或所述操作可在額外實施例中予以省略。
此處將說明基板之圖案化方法,包含就一既定微影機台或遮罩而言,減少可被轉印至基板上之圖案之最小間距的方法。吾人係利用在曝光之輻射敏感性材料(例如光阻)上之多個化學處理,以實現縮減成約一半之微影間距。
根據實施例,說明使微影圖案之解析度加倍之基板圖案化方法。該圖案化方法利用雙型顯影(DTD)法,其中係將塗佈於基板之輻射敏感性材料層曝光於輻射圖案,且藉由施行正型顯影、接著施行負型顯影,而將雙重圖案顯影於輻射敏感性材料層中。此外,藉由在負型顯影之前***輻射敏感性材料層之全面性曝光,而修正、增加、及/或改善負型顯影之製程寬容度。再者,可調整、控制、及/或最佳化形成於雙重圖案中之特徵部之臨界尺寸,以滿足可包含預定臨界尺寸之預定圖案需求。此調整、控制、及/或最佳化包含改變任何處理步驟或改變雙重圖案化製程中之步驟組合,例如改變任何步驟或步驟之組合可包含增加、減少、及/或重新安排步驟之組合。
圖3說明根據一實施例而將圖案自遮罩轉印至基板上之方法。將一輻射敏感性材料層302(例如光阻)形成於基板301上,且接著利用遮罩303而將其曝光至來自微影系統(未圖示)之輻射源之輻射320。遮罩303具有以遮罩間距311呈週期性分隔之不透明部分310及透明部分304,如圖3示。
根據一實施例,輻射敏感性材料層302包含光阻;根據另一實施例,輻射敏感性材料層302包含248 nm光阻、193 nm光阻、157 nm光阻、或極紫外光光阻、或其二者以上之組合;根據另一實施例,輻射敏感性材料層302包含正型光阻或負型光阻;根據另一實施例,輻射敏感性材料層302包含雙型光阻,可將雙型光阻特徵化為因取決於所使用之顯影化學品而具有正型光阻或負型光阻作用的光阻。根據另一實施例,輻射敏感性材料層302包含在施行圖案化曝光、施行全面性曝光、於圖案化曝光之後施行第一曝後烤、或於圖案化曝光之後施行第二曝後烤、或其二者以上之任何組合時由於極性之改變而轉換溶解度之光阻;根據另一實施例,輻射敏感性材料層302包含在施行圖案化曝光、施行全面性曝光、於圖案化曝光之後施行第一曝後烤、或於圖案化曝光之後施行第二曝後烤、或其二者以上之任何組合時提供酸催化去保護之光阻;根據又另一實施例,輻射敏感性材料層302包含一個以上之光酸產生劑。
圖3顯示輻射敏感性材料層302中所產生之應答之輻射曝光輪廓305及光阻應答輪廓306,該應答係藉由自輻射320利用微影系統並透過遮罩303之投影而產生之輻射圖案而來。如圖3所示,對應於透明部分304之第一輻射敏感性材料部分312由輻射320接收高輻射曝光,對應於不透明部分310之第二輻射敏感性材料部分313由輻射320接收低輻射曝光,而約對應於不透明部分310之邊緣之第三輻射敏感性材料部分314由輻射320接收中輻射曝光。如圖3所示,對應於輻射敏感性材料層302之第一輻射敏感性材料部分312之光阻應答輪廓306高於上閾值308,對應於第二輻射敏感性材料部分313之光阻應答輪廓306高於下閾值309;而對應於第三輻射敏感性材料部分314之光阻應答輪廓306則介於下閾值309與上閾值308之間。
在一實施例中,當輻射敏感性材料層302包含正型光阻時,光阻應答輪廓306可代表在輻射敏感性材料層302中約正比於輻射曝光輪廓305之去保護聚合物之化學濃度,如圖3所示。在另一實施例中,當輻射敏感性材料層302包含正型光阻時,光阻應答輪廓306可為在輻射敏感性材料層302中正比於輻射曝光輪廓305之酸濃度。在另一實施例中,當輻射敏感性材料層302包含負型光阻時,光阻應答輪廓306可為在輻射敏感性材料層302中正比於輻射曝光輪廓305之平均聚合物分子量。
在一實施例中,上閾值308對應於輻射敏感性材料層302在施加第一顯影化學品時之溶解度之第一閾值;在一實施例中,下閾值309對應於輻射敏感性材料層302在施加第二顯影化學品時之溶解度之第二閾值。在一實施例中,對應於透明部分304(其在輻射曝光輪廓305中具有高輻射曝光)之輻射敏感性材料層302之第一輻射敏感性材料部分312,係利用第一顯影化學品而自基板301選擇性地移除;在輻射曝光輪廓305中具有低輻射曝光之輻射敏感性材料層302之第二輻射敏感性材料部分313,係利用第二顯影化學品而自基板301選擇性地移除;而對應於約不透明部分310(其在輻射曝光輪廓305中具有中輻射曝光,亦即介於上閾值308與下閾值309之間的輻射曝光)之邊緣之第三輻射敏感性材料部分314則原封不動地保留於基板301上,如圖3所示。因此,圖3顯示利用不同之顯影化學品以選擇性地移除第一輻射敏感性材料部分312及第二輻射敏感性材料部分313、同時使第三輻射敏感性材料部分314原封不動地留存於基板301上之方法。
在一實施例中,就第一輻射敏感性材料部分312而言,光阻應答輪廓306包含輻射敏感性材料層302中之酸濃度,其高於酸濃度之上閾值308。在一實施例中,上閾值308代表輻射敏感性材料層302之酸級溶解度閾值,例如,若輻射敏感性材料層302中之酸濃度高於酸濃度之上閾值308,則當施加第一顯影化學品時,輻射敏感性材料層302變成可溶性。
在一實施例中,就第二輻射敏感性材料部分313而言,光阻應答輪廓306包含輻射敏感性材料層302中之酸濃度,其低於酸濃度之下閾值309。在一實施例中,下閾值309代表輻射敏感性材料層302之另一酸級溶解度閾值,例如,若輻射敏感性材料層302中之酸濃度低於酸濃度之下閾值309,則當施加第二顯影化學品時,輻射敏感性材料層302變成可溶性。
在一實施例中,輻射敏感性材料層302包含範圍自亮視野酸級(clear field acid level)之約30%至約60%之酸濃度上閾值308及範圍自場酸級之約10%至約25%之酸濃度下閾值309。在一實施例中,係將亮視野酸濃度定義為完全曝光於輻射之光阻之酸級;在另一實施例中,係將亮視野酸濃度定義為當所有的PAG(光酸產生)材料已與輻射反應而產生酸物種時之酸濃度。
由於遮罩303所致之輻射320繞射,遂建立對應於中輻射曝光之第三輻射敏感性材料部分314。在一實施例中,第三輻射敏感性材料部分314包含酸濃度上閾值308與酸濃度下閾值309之間的酸濃度。對應於高輻射曝光之第一輻射敏感性材料部分312,係利用第一顯影化學品而選擇性地自基板301移除;對應於低輻射曝光之第二輻射敏感性材料部分313,係利用第二顯影化學品而選擇性地自基板301移除;而對應於中輻射曝光之第三輻射敏感性材料部分314則留存於基板301上,以形成由遮罩303及微影系統加以轉印之圖案。
如圖3所示,針對每一不透明部分310而產生兩光阻特徵部(亦即第三輻射敏感性材料部分314),藉以使基板301上之圖案特徵部數量加倍。因此,光阻特徵部(亦即第三輻射敏感性材料部分314)之中心之間的特徵部間距319變成遮罩303之遮罩間距311的一半,如圖3所示。
今參照圖4A-4E說明根據另一實施例之基板圖案化方法。如圖4A所示,微影結構400係藉由在基板401上形成輻射敏感性材料層402加以製備。
基板401可包含半導體,例如單晶矽、鍺、及任何其他半導體。在另外之實施例中,基板401可包含用以製造積體電路、被動微電子裝置(例如電容器、感應器)、及主動微電子裝置(例如電晶體、光偵測器、雷射、二極體)之任何材料,基板401可包含將此主動及被動微電子裝置與導電層或形成於其頂部上之膜層分開之絕緣材料。在一實施例中,基板401包含具有一個以上絕緣層(例如二氧化矽、氮化矽、藍寶石、及其他絕緣材料)之p型單晶矽基板。
如上所述,基板401可包含膜疊層,其具有設置於基板401與輻射敏感性材料層402之間的一層以上薄膜,各薄膜可包含導電層、非導電層、或半導體層。例如,薄膜可包含含有下列成分之材料層:金屬、金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽酸鹽、金屬矽化物、矽、多晶矽(poly-silicon)、摻雜矽、二氧化矽、氮化矽、碳化矽、氮氧化矽等。此外,薄膜可例如包含低介電常數或超低介電常數介電層,其具有小於SiO2 之介電常數(約為4)之名義介電常數值,例如熱二氧化矽之介電常數範圍可為3.8-3.9。尤其,薄膜可具有小於3.7之介電常數、或範圍自1.6至3.7之介電常數。
根據一實施例,輻射敏感性材料層402包含光阻;根據另一實施例,輻射敏感性材料層402包含248 nm光阻、193 nm光阻、157 nm光阻、或極紫外光光阻、或其二者以上之組合;根據另一實施例,輻射敏感性材料層402包含正型光阻或負型光阻;根據另一實施例,輻射敏感性材料層402包含雙型光阻;根據另一實施例,輻射敏感性材料層402包含聚羥苯乙烯基(poly(hydroxystyrene)-based)光阻或甲基丙烯酸酯基((meth)acrylate-based)光阻。根據另一實施例,輻射敏感性材料層402包含在施行圖案化曝光、施行全面性曝光、於圖案化曝光之後施行第一曝後烤、或於圖案化曝光之後施行第二曝後烤、或其二者以上之任何組合時由於極性之改變而轉換溶解度之光阻;根據另一實施例,輻射敏感性材料層402包含在施行圖案化曝光、施行全面性曝光、於圖案化曝光之後施行第一曝後烤、或於圖案化曝光之後施行第二曝後烤、或其二者以上之任何組合時提供酸催化去保護之光阻;根據又另一實施例,輻射敏感性材料層402包含一個以上之光酸產生劑。
輻射敏感性材料層402可利用軌道系統加以形成,例如,軌道系統可包含Clean Track ACT 8、ACT 12、或可購自東京威力科創(TEL,Tokyo Electron Limited)的商品化Lithius光阻塗佈及顯影系統。其他在基板上形成光阻膜的系統及方法已為熟習旋轉塗佈光阻技術之技藝者所熟知。
在將輻射敏感性材料層402塗佈於基板401之後,輻射敏感性材料層可於塗佈後烘烤(PAB)中進行熱處理。例如,可將基板溫度升高至約50-約200℃且持續約30-約180秒之時間。可利用具有塗佈後基板加熱及冷卻設備(post-application substrate heating and cooling equipment)之軌道系統以實施PAB,例如,軌道系統可包含Clean Track ACT 8、ACT 12、或可購自東京威力科創(TEL)的商品化Lithius光阻塗佈及顯影系統。其他對基板上之曝光光阻膜進行熱處理的系統及方法已為熟習旋轉塗佈光阻技術之技藝者所熟知。
如圖4B所示,吾人係透過遮罩403而將輻射敏感性材料層402曝光於EM輻射407。遮罩403包含避免EM輻射407透射至輻射敏感性材料層402之不透明部分410及使EM輻射407透射至輻射敏感性材料層402之透明部分404。遮罩403可包含適用於溼式(例如浸潤)或乾式微影之任何遮罩,包含範圍自約365nm至約13nm之波長;遮罩403可包含二元式遮罩或鉻膜玻璃遮罩(chrome on glass mask);或者,遮罩可包含交替式相位移遮罩或嵌入式相位移遮罩。
將輻射敏感性材料層402曝光至EM輻射之圖案,可在乾式或溼式光微影系統中實施,微影系統可能能夠提供波長為365nm,248nm,193nm,157nm及13nm之EM輻射之圖案,影像圖案可利用任何適當之習知步進微影系統或掃描微影系統加以形成。例如,光微影系統可購自ASML Netherlands B.V.(De Run 6501,5504 DR Veldhoven,The Netherlands)或Canon USA,Inc.,Semiconductor Equipment Division(3300 North First Street,San Jose,CA 95134),遮罩403可例如利用法線入射光及偏軸照明光(例如環形照明、四極照明、及偶極照明)加以照射。這些照明及將輻射敏感性材料層402利用遮罩403而曝光至輻射之方法為在微電子裝置製造技藝中具有通常知識者所已知者。
如圖4B所示,使EM輻射407透過遮罩403而投射至輻射敏感性材料層402,輻射曝光在輻射敏感性材料層402中形成一個以上之第一輻射敏感性材料部分405、一個以上之第二輻射敏感性材料部分406、及一個以上之第三輻射敏感性材料部分408。如圖4B所示,對應於遮罩403之不透明部分410之一個以上之第二輻射敏感性材料部分406具有低EM輻射407曝光;對應於遮罩403之透明部分404之一個以上之第一輻射敏感性材料部分405具有高EM輻射407曝光;且約對應於遮罩403之不透明部分410邊 緣之一個以上之第三輻射敏感性材料部分408具有中EM輻射407曝光。中輻射曝光之一個以上之第三輻射敏感性材料部分408係由於不透明部分410之邊緣之EM輻射407繞射而產生。
在一實施例中,對應於高輻射曝光之一個以上之第一輻射敏感性材料部分405接收約50%以上之入射於基板401上之EM輻射407;對應於低輻射曝光之一個以上之第二輻射敏感性材料部分406接收小於15%之入射於基板401上之EM輻射407;而對應於中輻射曝光之一個以上之第三輻射敏感性材料部分408接收約15%與約50%之間的入射於基板401上之EM輻射407。
在一實施例中,高EM輻射407曝光使一個以上之第一輻射敏感性材料部分405中的酸濃度增加至高於酸濃度上閾值之位準,酸濃度上閾值為輻射敏感性材料層402之第一溶解度閾值。在一實施例中,當一個以上之第一輻射敏感性材料部分405中的酸濃度增加至高於輻射敏感性材料層402之第一溶解度閾值(例如酸濃度閾值)之位準時,一個以上之第一輻射敏感性材料部分405於施加第一顯影化學品時即變成可溶性。
在另一實施例中,當一個以上之第一輻射敏感性材料部分405中之去保護聚合物的化學濃度增加至高於輻射敏感性材料層402之第一溶解度閾值(例如酸濃度閾值)之位準時,一個以上之第一輻射敏感性材料部分405於施加第一顯影化學品時即變成可溶性。
在又另一實施例中,當一個以上之第一輻射敏感性材料部分405中之平均聚合物分子量增加至高於輻射敏感性材料層402之第一溶解度閾值(例如酸濃度閾值)之位準時,一個以上之第一輻射敏感性材料部分405於施加第一顯影化學品時即變成可溶性。
在對應於低輻射曝光之一個以上之第二輻射敏感性材料部分406中,酸濃度及/或去保護聚合物的化學濃度小於輻射敏感性材料層402之溶解度下閾值(例如酸濃度閾值)。一個以上之第二輻射敏感性材料部分406於施加第二顯影化學品時變成可溶性。
在另一實施例中,當一個以上之第二輻射敏感性材料部分406 中之平均聚合物分子量低於輻射敏感性材料層402之第二溶解度閾值時,一個以上之第二輻射敏感性材料部分406於施加第二顯影化學品時即變成可溶性。
一般而言,第一溶解度閾值及第二溶解度閾值係由輻射敏感性材料層402之材料性質加以決定。對應於中輻射曝光之一個以上之第三輻射敏感性材料部分408具有約介於第一溶解度閾值與第二溶解度閾值之間的酸濃度;換言之,當施加第一顯影化學品及第二顯影化學品中之每一者至輻射敏感性材料層402時,一個以上之第三輻射敏感性材料部分408並不具可溶性。
在將輻射敏感性材料層402曝光於EM輻射407之後,可在第一曝後烤(PEB)中對曝光之輻射敏感性材料層402進行熱處理。例如,可將基板溫度升高至約50℃-約200℃持續約30秒-約180秒。可利用具有曝光後基板加熱及冷卻設備(post-exposure substrate heating and cooling equipment)之軌道系統以實施PEB,例如,軌道系統可包含Clean Track ACT 8、ACT 12、或可購自東京威力科創(TEL)的商品化Lithius光阻塗佈及顯影系統。其他對基板上之曝光光阻膜進行熱處理的系統及方法為熟習旋轉塗佈光阻技術之技藝者所已知。
仍參照圖4B,可藉由第一臨界尺寸420而將一個以上之第一輻射敏感性材料部分405特徵化,例如,第一臨界尺寸420可與正型顯影之後的正型臨界尺寸相關。此外,一個以上之第二輻射敏感性材料部分406可藉由第二臨界尺寸422加以特徵化。如圖4B所示,第二臨界尺寸422代表一個以上之第二輻射敏感性材料部分406之內尺寸(此等部分存在於內尺寸之外),例如,第二臨界尺寸422可與負型顯影之後的負型臨界尺寸相關。此外,可藉由第三臨界尺寸424而將一個以上之第三輻射敏感性材料部分408特徵化,例如,第三臨界尺寸424可與留存於基板401上之特徵部430(見圖4E)之特徵部臨界尺寸相關。
今參照圖4C,利用包含第一顯影化學品之第一顯影製程,選擇性地移除對應於高輻射曝光之一個以上之第一輻射敏感性材料 部分405。第一顯影製程可包含輻射敏感性材料層402之正型顯影。在一實施例中,用以選擇性地移除一個以上之第一輻射敏感性材料部分405之第一顯影化學品包含鹼類(base),例如鹼(alkali)、胺類等;在一實施例中,用以選擇性地移除一個以上之第一輻射敏感性材料部分405之第一顯影化學品包含氫氧化四甲銨(TMAH);在另一實施例中,用以選擇性地移除一個以上之第一輻射敏感性材料部分405之第一顯影化學品包含鹼類、水、及任選(optional)界面活性劑。
在一實施例中,係將具有曝光之輻射敏感性材料層402之基板401浸入含有第一顯影化學品之顯影液中,以除去可溶性之一個以上之第一輻射敏感性材料部分405;之後,乾燥基板401。顯影製程可在一預定溫度(例如室溫)、及一預定壓力(例如大氣壓力)下施行達一預定持續時間(例如約30秒-約180秒)。顯影製程可包含使基板曝露於顯影系統(例如軌道系統)中之顯影液,例如,軌道系統可包含Clean Track ACT 8、ACT 12、或可購自東京威力科創(TEL)的商品化Lithius光阻塗佈及顯影系統。
如圖4C所示,可調整、控制、及/或最佳化第一臨界尺寸420’(對應於一個以上之第一輻射敏感性材料部分405)、第二臨界尺寸422’(對應於一個以上之第二輻射敏感性材料部分406)、或第三臨界尺寸424’(對應於一個以上之第三輻射敏感性材料部分408),如以下將說明者。
如圖4C所示,一個以上之第二輻射敏感性材料部分406及一個以上之第三輻射敏感性材料部分408仍留存於基板401上。
在輻射敏感性材料層402之第一顯影製程之後,將曝光之輻射敏感性材料層402曝光至未圖案化輻射440(亦即全面性曝光至輻射),如圖4D所示。輻射敏感性材料層402至未圖案化輻射440之曝光將更詳細地討論於下。
之後,可在另一曝後烤(PEB)中對輻射敏感性材料層402進行熱處理。例如,可將基板401之溫度升高至約50-約200℃且持續約30-約180秒之時間。
今參照圖4E,利用包含第二顯影化學品之第二顯影製程,選擇性地移除對應於低輻射曝光之一個以上之第二輻射敏感性材料部分406。第二顯影製程可包含輻射敏感性材料層402之負型顯影。在一實施例中,用以選擇性地移除一個以上之第二輻射敏感性材料部分406之第二顯影化學品包含有機溶劑;在一實施例中,用以選擇性地移除一個以上之第二輻射敏感性材料部分406之第二顯影化學品包含有機溶劑、任選(optional)水、及任選界面活性劑;在一實施例中,用以選擇性地移除一個以上之第二輻射敏感性材料部分406之第二顯影化學品包含醇類或丙酮。
在一實施例中,係將具有曝光之輻射敏感性材料層402之基板401浸入含有第二顯影化學品之顯影液中,以除去可溶性之一個以上之第二輻射敏感性材料部分406;之後,乾燥基板401。顯影製程可在一預定溫度(例如室溫)、及一預定壓力(例如大氣壓力)下施行達一預定持續時間(例如約30秒-約180秒)。顯影製程可包含使基板曝露於顯影系統(例如軌道系統)中之顯影液,例如,軌道系統可包含Clean Track ACT 8、ACT 12、或可購自東京威力科創(TEL)的商品化Lithius光阻塗佈及顯影系統。
如圖4E所示,可調整、控制、及/或最佳化第一臨界尺寸420”(對應於一個以上之第一輻射敏感性材料部分405)、第二臨界尺寸422”(對應於一個以上之第二輻射敏感性材料部分406)、或第三臨界尺寸424”(對應於一個以上之第三輻射敏感性材料部分408),如以下將說明者。
如圖4E所示,移除一個以上之第二輻射敏感性材料部分406,俾使一個以上之第三輻射敏感性材料部分408留存於基板401上。由於對應於各遮罩特徵部(例如透明部分404)之影像具有兩中輻射曝光區(或範圍自低輻射密度至高輻射密度之轉換區),故合成之光阻圖案包含數目為遮罩403上之遮罩圖案兩倍之特徵部430。
特徵部430之間的特徵部間距432小於或等於遮罩403之不透明部分410之間的遮罩間距409之約一半,如圖4E所示。在一 實施例中,特徵部430之間的特徵部間距432範圍可自約5nm至約30nm。
如以上關於圖4C,4D及4E所述者,在不改變合成圖案之情形下,輻射敏感性材料層402之正型顯影(亦即利用第一顯影化學品之顯影)及負型顯影(亦即利用第二顯影化學品之顯影)可以任何順序加以施行。在一實施例中,於自基板401移除對應於低輻射曝光之一個以上之第二輻射敏感性材料部分406之前,選擇性地自基板401移除對應於高輻射曝光之一個以上之第一輻射敏感性材料部分405;在另一實施例中,於自基板401移除對應於低輻射曝光之一個以上之第二輻射敏感性材料部分406之後,選擇性地自基板401移除對應於高輻射曝光之一個以上之第一輻射敏感性材料部分405。
今參照圖5,顯示根據一實施例之基板圖案化方法之流程圖500。流程圖500開始於510,在基板上形成輻射敏感性材料層;且在520中,實施輻射敏感性材料層之圖案化曝光。在圖案化曝光期間,利用具有遮罩臨界尺寸(CD)之遮罩,將輻射敏感性材料層曝光於電磁(EM)輻射之圖案,以形成一個以上之第一輻射敏感性材料部分、一個以上之第二輻射敏感性材料部分、及一個以上之第三輻射敏感性材料部分。遮罩CD可包含用以特徵化遮罩之不透明部分、遮罩之透明部分、遮罩間距等之任何臨界尺寸。 一個以上之第一輻射敏感性材料部分可包含歷經高輻射曝光之第一輻射敏感性材料部分;一個以上之第二輻射敏感性材料部分可包含歷經低輻射曝光之第二輻射敏感性材料部分;一個以上之第三輻射敏感性材料部分可包含歷經由第三臨界尺寸加以特徵化之中輻射曝光之第三輻射敏感性材料部分。
在530中,施行第一曝後烤(PEB),其中係將基板之溫度升高至第一曝後溫度。第一PEB可包含設定第一曝後溫度、將基板升高至第一曝後溫度之時間、用以達成第一曝後溫度之加熱速率、用以降低第一曝後溫度之冷卻速率、基板周圍在將基板升高至第一曝後溫度期間之氣體環境之壓力、或者基板周圍在將基板 升高至第一曝後溫度期間之氣體環境之組成、或其二者以上之組合。第一曝後溫度輪廓可為斜坡狀或步階狀。
在540中,施行輻射敏感性材料層之正型顯影,其中吾人係利用第一顯影化學品而自基板移除一個以上之第一輻射敏感性材料部分。一個以上之第一輻射敏感性材料部分之移除可藉由第一臨界尺寸加以特徵化。正型顯影製程可包含設定第一顯影化學品之組成、施加第一顯影化學品之持續時間、或施加第一顯影化學品之溫度、或其二者以上之任何組合。第一顯影化學品可包含鹼性溶液;第一顯影化學品可更包含鹼性溶液、水、及任選界面活性劑。
在550中,施行輻射敏感性材料層之全面性曝光。在全面性曝光期間,係將施行輻射敏感性材料層曝光於未圖案化輻射。全面性曝光可包含在無遮罩或初縮遮罩下將基板曝光於電磁(EM)輻射:EM輻射可具有可見光譜之波長、或紫外光譜之波長、或其組合。此外,全面性曝光可包含將基板曝光於連續EM輻射、脈衝EM輻射、多鉻EM輻射、單鉻EM輻射、寬頻EM輻射、或窄頻輻射、或其組合。
例如,全面性曝光可包含將基板曝光於436nm(奈米)EM輻射、365nm EM輻射、248nm EM輻射、193nm EM輻射、157nm EM輻射及13nm EM輻射、或深紫外光(DUV)EM輻射、或其二者以上之任何組合。此外,例如,全面性曝光可包含將基板曝光於波長能夠在輻射敏感性材料層中產生酸之EM輻射。
在560中,施行第二曝後烤(PEB),其中係將基板之溫度升高至第二曝後溫度。第二PEB可包含設定第二曝後溫度、將基板升高至第二曝後溫度之時間、用以達成第二曝後溫度之加熱速率、用以降低第二曝後溫度之冷卻速率、基板周圍於將基板升高至第二曝後溫度期間之氣體環境之壓力、或者基板周圍於將基板升高至第二曝後溫度期間之氣體環境之組成、或其二者以上之組合。第二曝後溫度輪廓可為斜坡狀或步階狀。
在570中,施行輻射敏感性材料層之負型顯影,其中吾人係 利用第二顯影化學品而自基板移除一個以上之第二輻射敏感性材料部分。一個以上之第二輻射敏感性材料部分之移除可藉由第二臨界尺寸加以特徵化。負型顯影製程可包含設定第二顯影化學品之組成、施加第二顯影化學品之持續時間、或施加第二顯影化學品之溫度、或其二者以上之任何組合。第二顯影化學品可包含有機溶劑;第二顯影化學品可更包含有機溶劑、任選(optionally)水、及任選界面活性劑。
第二曝後烤及之後的正型顯影提供施作者在圖案化曝光之後操控輻射敏感性材料層中之去保護反應之一策略,以便將去保護梯度設定於輻射敏感性材料層內之有利狀態,而產生所需求之負型圖案。雖然此策略可成功地應用於某些光阻系統,但對於其他光阻系統及/或製程條件(例如光阻厚度、烘烤時間/溫度等)則可能全面受到限制或無法應用。
全面性曝光提供施作者更進一步操控去保護反應之另一策略,且容許將雙型顯影製程延伸至擴大之光阻系統組。使用全面性曝光(550)及第二PEB(560),可藉由在全面性曝光之後於輻射敏感性材料層中產生酸而操控去保護梯度,接著更可在第二PEB之後,對輻射敏感性材料層中之聚合物進行去保護。
今參照圖6A及6B,例示圖案化基板600之示意圖。圖案化基板600包含輻射敏感性材料層610,在該輻射敏感性材料層610內,特徵部圖案620係於輻射敏感性材料層610經由遮罩632而圖案化曝光於EM輻射630、第一PEB、及正型顯影之後所形成。如圖6A所示意顯示者,去保護梯度集中於鄰接於特徵部圖案620之內邊緣之邊界層640內;然而,如圖6B所示,去保護梯度係藉由全面性曝光650及第二PEB而更進一步地加以操控或改變,且去保護梯度延伸橫貫擴大邊界層642。在後者中,施作者在選擇剩餘結構(亦即一個以上之輻射敏感性材料部分)之寬度時具有較大彈性。本案發明人猜測全面性曝光650造成輻射敏感性材料層610區域中之酸產生,該區域「消耗」或與充分含量之鹼類反應,而引起去保護梯度在第二PEB期間之位置偏移。
如上所述,輻射敏感性材料層可包含一個以上之光酸產生劑,例如,輻射敏感性材料層可包含第一光酸產生劑及第二光酸產生劑,其中當第一光酸產生劑曝光於第一波長或第一波長範圍下之EM輻射時,便於輻射敏感性材料層中產生酸;而當第二光酸產生劑曝光於第二波長或第二波長範圍下之EM輻射時,便於輻射敏感性材料層中產生酸。可將各光酸產生劑就一特定波長或波長範圍而作為目標,其中,可制定光酸產生劑之配方,以於目標波長下產生酸,且在一預定截止(cut-off)波長下產生極少或不產生酸。因此,可藉由改變圖案化曝光及/或全面性曝光中之EM輻射之光譜內容,而調整輻射敏感性材料層中之酸產生。
圖案化曝光可用於將輻射敏感性材料層曝光於第一波長或第一波長範圍,且全面性曝光可用於將輻射敏感性材料層曝光於第二波長或第二波長範圍。例如,在兩光酸產生劑系統中,圖案化曝光可在193nm下實施,且全面性曝光可在436或365nm下實施。兩光酸產生劑其中一者在曝光於193nm之圖案化曝光時產生酸,而兩光酸產生劑其中另一者在曝光於436或365nm之全面性曝光時產生酸。或者,全面性曝光可用於將輻射敏感性材料層曝光於第一波長或第一波長範圍及第二波長或第二波長範圍。
根據額外實施例,調整、控制及/或最佳化第一臨界尺寸、第二臨界尺寸、及/或第三臨界尺寸(對應於第三輻射敏感性材料部分之臨界尺寸),以滿足可包含預定第一臨界尺寸、第二臨界尺寸、及/或第三臨界尺寸(對應於第三輻射敏感性材料部分之臨界尺寸)之預定圖案需求。此調整、控制、及/或最佳化包含改變圖案化製程;調整、控制、及/或最佳化可包含改變輻射敏感性材料層之成分、改變圖案化曝光、改變第一曝後烤、改變正型顯影、改變全面性曝光、改變第二曝後烤、或改變負型顯影、或改變其二者以上之任何組合。
例如,調整圖案化製程以達成目標第一臨界尺寸及/或第二臨界尺寸、及/或第三輻射敏感性材料部分之臨界尺寸之目標臨界尺寸,包含施行下列一個以上之步驟:(1)調整圖案化曝光之遮罩臨 界尺寸;(2)調整圖案化曝光之曝光劑量;(3)調整圖案化曝光之曝光強度;(4)調整圖案化曝光之曝光波長;(5)調整第一後曝溫度、將基板升高至第一曝後溫度之時間、用以達成第一曝後溫度之加熱速率、用以降低第一曝後溫度之冷卻速率、基板周圍在將基板升高至第一曝後溫度期間之氣體環境之壓力、或者基板周圍在將基板升高至第一曝後溫度期間之氣體環境之組成、或其二者以上之組合;(6)調整第二曝後溫度、將基板升高至第二曝後溫度之時間、用以達成第二曝後溫度之加熱速率、用以降低第二曝後溫度之冷卻速率、基板周圍於將基板升高至第二曝後溫度期間之氣體環境之壓力、或者基板周圍於將基板升高至第二曝後溫度期間之氣體環境之組成、或其二者以上之組合;(7)調整第一顯影化學品之組成、施加第一顯影化學品之持續時間、或施加第一顯影化學品之溫度、或其二者以上之任何組合;(8)調整第二顯影化學品之組成、施加第二顯影化學品之持續時間、或施加第二顯影化學品之溫度、或其二者以上之組合;或(9)調整輻射敏感性材料層之組成;或(10)調整其二者以上之組合。
今參照圖7,顯示根據一實施例之圖案化方法之最佳化演算法700,該演算法700基於包含模擬及實驗流程兩者之雙型顯影(DTD)製程之製程定心(process centering)而輔助最佳化方法。在演算法700中,提供關於既定目標雙型顯影製程之已知細節,作為對於搜尋及/或計算雙型顯影製程之理想製程操作條件的最佳化路線之輸入。最佳化路線可涉及利用「智慧型」路線或被用作對於雙型顯影製程之最佳解之搜尋引擎的軟體碼。
根據本發明之實施例,可修改演算法700,以用於任何基板流程。假設所有可能之基板流程可具備下列:(1)既定目標佈局(例如目標幾何形狀、目標間距、及設計);(2)可利用光阻模型加以校準之既定光阻材料;(3)起始或名義解析度增強技術(RET),其指定一名義光學條件組,以於基板平面上產生影像(例如光源形狀、數值孔徑(NA)設定、遮罩輔助特徵部等); (4)目標製程流程(例如塗佈及烘烤步驟之細節等);及(5)某些製程量度(process metrics)(例如遮罩誤差增強因子(MEEF)、曝光寬容度(exposure latitude)、聚焦深度(DOF)、PEB時間敏感度、PEB溫度敏感度等)之目標製程容限(tolerance)。
最佳化方法之目標為獲得一名義(nominal)或中心(centered)之製程條件,該條件可產生精確地按目標佈局/設計之尺寸製作之雙型光阻影像;此外,若亦其滿足目標量度容限頻帶(target metric tolerance bands),則視為一「中心」條件。
在演算法700中,製程資料係就最佳化方法加以定義。製程資料包含校準之光阻製程模型710、目標設計佈局資料712、製程條件及限制資料714、及基板流程與製程變數與範圍資料716。目標設計佈局資料712可包含基板材料膜堆疊資訊(例如厚度資訊、處方等)、曝光機台設定、遮罩/初縮遮罩資訊、及關於此等製程條件之限制;此外,基板流程可包含含有全面性曝光之製程流程,且製程變數及關注之範圍可包含容許達到中心(centered)製程條件之任何變數。製程變數,例如曝光焦距、曝光劑量、遮罩CD、顯影劑化學組成及條件(例如類型/強度)、全面性曝光條件、及PEB溫度與時間,為可加以改變之變數例子;然而,演算法並不限於此製程變數組。
在720中,假設並提供起始模擬及/或猜測,作為最佳化方法之輸入。此起始模擬安裝係藉由根據所建立之製程資料(710,712,714,716)設定適當資料而加以施行,亦基於經驗而選擇對於製程變數解之起始猜測,或者可根據已熟知之名義解加以選擇。
在730中,執行根據研究而產生可能之製程變數解之雙型模擬之起始組或矩陣,此執行接著變成滿足某些準則之「可能解之資料庫」。儲存所有的結果(亦即良好及不當解)以用於後續處理。矩陣之大小對應至在模擬中容許改變之變數之數目。
在740中,剖析來自前一步驟(730)之模擬之矩陣,並尋求滿足既定準則之可能解。例如,當決定達成目標幾何形狀之解矩 陣時,可滿足既定準則。待儲存之資料為提供可接受解(亦即滿足準則)之製程變數之數值。
在750中,儲存包含產生良好解之製程變數數值之製程解。儲存所有關於解之適切資訊,其可包含例如製程變數之數值、印刷臨界尺寸、模擬影像之2-D等高線、及所有設定之條件。
在760中,選擇來自於750中所儲存之解矩陣之可能解,由此點開始之目的在於確定所選擇之解滿足其他微影成像效能量測。有可能所選擇之第一解並不滿足所有準則,此即成為一疊代程序。
在760中,一旦已選擇一解,若已知步驟710,712,714及716中所指定之一組量度,則於770中施行一連串模擬,以計算預測之微影效能。
在780中,由770中所施行之該系列模擬之結果,計算選擇自識別量度組之微影效能量度。微影效能量度可包含但不限於MEEF、聚焦深度(DOF)、曝光寬容度、及整合式製程窗。
在790中,一旦計算了微影效能量度,便比較微影效能量度與製程量度目標775或目標數值及/或規格。若微影效能量度相較於製程量度目標775並不有利(亦即在指定容限內),且有更多可能解存在(例如,在795中,施行評估以判定是否存在更多可能解),則接著重複演算法700之步驟760,770及780。或者,若微影效能量度相較於製程量度目標775為有利(亦即在指定容限內),接著可接受解已經決定且最佳化方法已達終點。若未發現滿足所有製程量度目標775之解,且由750中儲存之可能解已無法找到所有可能解(例如,在795中,施行評估以判定是否已找遍所有解),則演算法700結束。
今參照圖8,顯示根據另一實施例之圖案化方法之最佳化演算法800。當由儲存可能解795已無法找到所有可能解時,演算法800擴充演算法700(以上參照圖7所述)。
在810中,當由儲存可能解795已無法找到所有可能解時,則進行查詢以判定是否改變解析度增強技術(RET)。若演算法800 選擇改變RET之屬性,則接著在820中,修正對於最佳化方法而定義之部分或全部製程資料(亦即校準之光阻製程模型710、目標設計佈局資料712、製程條件及限制資料714、及基板流程與製程變數與範圍資料716)。選擇RET中之改變係基於經驗,或者選擇之改變可基於來自先前步驟之模擬輸出。一旦改變後,演算法再度開始於720。最後,改變RET以改善DTD製程並尋找較佳解。RET改變之實例為遮罩偏位變化及/或照明設定變化。
今參照圖9,顯示根據另一實施例之圖案化方法之最佳化演算法900,演算法900基於包含實驗數據之雙型顯影(DTD)製程之製程定心而輔助最佳化方法。在演算法900中,將關於既定雙型顯影製程之已知細節,提供作為最佳化路徑之輸入,該最佳化路徑利用實驗資料搜尋及/或判定雙型顯影製程之理想製程操作條件。
根據本發明之實施例,可修改演算法900,以用於任何基板流程。假設所有可能之基板流程可具備下列:(1)既定目標佈局(例如目標幾何、目標間距、及設計);(2)可利用光阻模型加以校準之既定光阻材料;(3)起始或名義解析度增強技術(RET),其指定一名義光學條件組,以於基板平面上產生影像(例如光源形狀、數值孔徑(NA)設定、遮罩輔助特徵部等);(4)目標製程流程(例如塗佈及烘烤步驟之細節等);及(5)某些製程量度(process metrics)(例如遮罩誤差增強因子(MEEF)、曝光寬容度(exposure latitude)、聚焦深度(DOF)、PEB時間敏感度、PEB溫度敏感度等)之目標製程容限(tolerance)。
最佳化方法之目標為獲得一名義(nominal)或中心(centered)之製程條件,該條件可產生精確地按目標佈局/設計之尺寸製作之雙型光阻影像;此外,若其亦滿足目標量度容限頻帶(target metric tolerance bands),則視為一「中心」條件。
在演算法900中,製程資料係就最佳化方法加以定義。製程 資料包含目標設計佈局資料912、製程條件及限制資料914、及基板流程與製程變數與範圍資料916。目標設計佈局資料912可包含基板材料膜堆疊資訊(例如厚度資訊、處方等)、曝光機台設定、遮罩/初縮遮罩資訊、及關於此等製程條件之限制;此外,基板流程可包含含有全面性曝光之製程流程,且製程變數及關注之範圍可包含容許達到中心(centered)製程條件之任何變數。製程變數,例如曝光焦距、曝光劑量、遮罩CD、顯影劑化學組成及條件(例如類型/強度)、全面性曝光條件、及PEB溫度與時間,為可加以改變之變數例子;然而,演算法並不限於此製程變數組。
在910中,利用關於基板流程之適切資訊,以建立並施行實驗設計法(DOE)。DOE係用以制定應答,例如作為各種不同製程參數之函數的目標幾何形狀之臨界尺寸。目標設計佈局資料912、製程條件及限制資料914、及基板流程與製程變數與範圍資料916被利用來產生適當之DOE(亦即實驗之矩陣),例如製程參數可包含:曝光焦距、曝光劑量、遮罩CD、顯影劑化學組成及條件(例如類型/強度)、全面性曝光條件、及PEB溫度與時間。
在920中,實驗資料探勘係藉由執行DOE加以實施。實驗資料探勘決定作為所測試之各製程變數之函數的DTD製程之應答。
在930中,一旦應答藉由實驗(例如量測設備,舉例可使用掃描式電子顯微鏡(SEM))量測或決定,即建立並剖析實驗應答之矩陣,俾能有效率地發現可能解。
在940中,利用實驗應答之矩陣,尋求滿足既定準則之可能解。例如,當決定達成目標幾何形狀之解矩陣時,可滿足既定準則。待儲存之資料為提供可接受解(亦即滿足準則)之製程變數之數值。
在950中,儲存包含產生良好解之製程變數數值之製程解。儲存所有關於解之適切資訊,其可包含例如製程變數之數值、印刷臨界尺寸、模擬影像之2-D等高線、及所有設定之條件。
在960中,選擇來自於950中所儲存之解矩陣之可能解,由此點開始之目的在於確定所選擇之解滿足其他微影成像效能量 測。有可能所選擇之第一解並不滿足所有準則,故此即成為一疊代程序。
在970中,一旦在960中選擇一解,即由所選擇解之實驗結果,計算微影效能量度。微影效能量度可包含但不限於MEEF、聚焦深度(DOF)、曝光寬容度、及整合式製程窗。
在980中,一旦計算了微影效能量度,便比較微影效能量度與製程量度目標975或目標數值及/或規格。若微影效能量度相較於製程量度目標975並不有利(亦即在指定容限內),且有更多可能解存在(例如,在990中,施行評估以判定是否存在更多可能解),則接著重複演算法900之步驟960及970。或者,若微影效能量度相較於製程量度目標975為有利(亦即在指定容限內),接著可接受解已經決定且最佳化方法已達終點。若未發現滿足所有製程量度目標975之解,且由儲存之可能解已無法找到所有可能解,則演算法900結束。或者,若未發現滿足所有製程量度目標975之解,且由儲存之可能解已無法找到所有可能解(例如,在990中,施行評估以判定是否已找遍所有解),則接著可修改及/或擴充DOE,且演算法900可於920再次開始。
今參照圖10A,說明根據一實施例之利用雙型顯影製程之基板圖案化平台1000。平台1000包含:軌道系統1010,用以以輻射敏感性材料層塗佈基板;微影系統1020,包含用以將基板曝光至圖案化EM輻射之圖案曝光系統1040;全面性曝光系統1050,用以將基板曝光至未圖案化EM輻射;及運送系統1030,用以在軌道系統1010、圖案曝光系統1040、與全面性曝光系統1050之間運送基板。
如圖10A所示,可將全面性曝光系統1050與圖案曝光系統1040一起整合於微影系統1020內。圖案曝光系統1040可包含輻射源、遮罩成像系統、及基板支座;全面性曝光系統1050可包含輻射源、無遮罩(mask-less)成像系統、及基板支座。
今參照圖10B,說明根據另一實施例之利用雙型顯影製程之基板圖案化平台1100。平台1100包含:軌道系統1110,用以以 輻射敏感性材料層塗佈基板;微影系統1120,包含用以將基板曝光至圖案化EM輻射之圖案曝光系統1140;全面性曝光系統1150,用以將基板曝光至未圖案化EM輻射;及運送系統1130,用以在軌道系統1110、圖案曝光系統1140、與全面性曝光系統1150之間運送基板。
如圖10B所示,可將全面性曝光系統1150整合於軌道系統1110內。全面性曝光系統1150可包含輻射源、無遮罩(mask-less)成像系統、及基板支座。
今參照圖10C,說明根據另一實施例之利用雙型顯影製程之基板圖案化平台1200。平台1200包含:軌道系統1210,用以以輻射敏感性材料層塗佈基板;微影系統1220,包含用以將基板曝光至圖案化EM輻射之圖案曝光系統1240;全面性曝光系統1250,用以將基板曝光至未圖案化EM輻射;及運送系統1230,用以在軌道系統1210、圖案曝光系統1240、與全面性曝光系統1250之間運送基板。
如圖10C所示,全面性曝光系統1250可包含與軌道系統1210及微影系統1220分開、且耦接至軌道系統1210或微影系統1220或兩者之獨立模組。全面性曝光系統1250可包含輻射源、無遮罩(mask-less)成像系統、及基板支座。
在圖10A,10B及10C之實施例中,全面性曝光系統(1050,1150,1250)係用以將基板曝光至連續EM輻射、脈衝EM輻射、多鉻EM輻射、單鉻EM輻射、寬頻EM輻射、或窄頻輻射、或其組合。全面性曝光系統(1050,1150,1250)可包含具有一個以上燈具、一個以上LED、或一個以上雷射、或其二者以上之組合之輻射源。
儘管本發明僅已詳細說明某些實施例如上,熟悉此項技藝者將輕易明瞭:在實質上不背離本發明之新穎教示及優勢之情況下,可對實施例進行許多修改;因此,所有此類修改皆應包含於本發明之範疇內。
101‧‧‧基板
102‧‧‧正型光阻層
103‧‧‧遮罩
104‧‧‧透明部分
105‧‧‧曝光部分
106‧‧‧未曝光部分
107‧‧‧電磁(EM)輻射
108‧‧‧不透明部分
109‧‧‧不透明部分之間距
110‧‧‧未曝光部分之間的間距
201‧‧‧基板
202‧‧‧負型光阻層
203‧‧‧遮罩
204‧‧‧透明部分
205‧‧‧曝光部分
206‧‧‧未曝光部分
207‧‧‧電磁(EM)輻射
208‧‧‧不透明部分
209‧‧‧透明部分之間距
210‧‧‧曝光部分之間的間距
301‧‧‧基板
302‧‧‧輻射敏感性材料層
303‧‧‧遮罩
304‧‧‧透明部分
305‧‧‧輻射曝光輪廓
306‧‧‧光阻應答輪廓
308‧‧‧上閾值
309‧‧‧下閾值
310‧‧‧不透明部分
311‧‧‧遮罩間距
312‧‧‧第一輻射敏感性材料部分
313‧‧‧第二輻射敏感性材料部分
314‧‧‧第三輻射敏感性材料部分
320‧‧‧輻射
400‧‧‧微影結構
401‧‧‧基板
402‧‧‧輻射敏感性材料層
403‧‧‧遮罩
404‧‧‧透明部分
405‧‧‧第一輻射敏感性材料部分
406‧‧‧第二輻射敏感性材料部分
407‧‧‧EM輻射
408‧‧‧第三輻射敏感性材料部分
409‧‧‧遮罩間距
410‧‧‧不透明部分
420‧‧‧第一臨界尺寸
420’‧‧‧第一臨界尺寸
422”‧‧‧第一臨界尺寸
422‧‧‧第二臨界尺寸
422’‧‧‧第二臨界尺寸
422”‧‧‧第二臨界尺寸
424‧‧‧第三臨界尺寸
424’‧‧‧第三臨界尺寸
424”‧‧‧第三臨界尺寸
430‧‧‧特徵部
432‧‧‧特徵部間距
500‧‧‧流程圖
510‧‧‧在基板上形成輻射敏感性材料層
520‧‧‧施行輻射敏感性材料層之圖案化曝光
530‧‧‧施行第一曝後烤
540‧‧‧施行輻射敏感性材料層之正型顯影
550‧‧‧施行輻射敏感性材料層之全面性曝光
560‧‧‧施行第二曝後烤
570‧‧‧施行輻射敏感性材料層之負型顯影
600‧‧‧圖案化基板
610‧‧‧輻射敏感性材料層
620‧‧‧特徵部圖案
630‧‧‧EM輻射
632‧‧‧遮罩
640‧‧‧邊界層
642‧‧‧擴大邊界層
650‧‧‧全面性曝光
700‧‧‧演算法
710‧‧‧校準之光阻製程模型
712‧‧‧目標設計佈局資料
714‧‧‧製程條件及限制資料
716‧‧‧基板流程、製程變數與範圍資料
720‧‧‧起始模擬設定/起始猜測
730‧‧‧執行DTD解
740‧‧‧產生可能解
750‧‧‧儲存可能解
760‧‧‧選擇一可能解
770‧‧‧執行DTD模擬矩陣
775‧‧‧製程量度目標
780‧‧‧計算製程量度
790‧‧‧滿足量度目標?
795‧‧‧可能解
800‧‧‧演算法
810‧‧‧改變RET?
820‧‧‧選擇RET改變
900‧‧‧演算法
910‧‧‧實驗設計法(DOE)
912‧‧‧目標設計佈局資料
914‧‧‧製程條件及限制資料
916‧‧‧基板流程、製程變數與範圍資料
920‧‧‧實驗資料探勘
930‧‧‧建立矩陣&剖析資料
940‧‧‧尋求作為固定參數之函數之名義條件(解)
950‧‧‧儲存可能解
960‧‧‧選擇一可能解
970‧‧‧計算製程量度
975‧‧‧製程量度目標
980‧‧‧滿足量度目標?
990‧‧‧更多可能解?
1000‧‧‧基板圖案化平台
1010‧‧‧軌道系統
1020‧‧‧微影系統
1030‧‧‧運送系統
1040‧‧‧圖案曝光系統
1050‧‧‧全面性曝光系統
1100‧‧‧基板圖案化平台
1110‧‧‧軌道系統
1120‧‧‧微影系統
1130‧‧‧運送系統
1140‧‧‧圖案曝光系統
1150‧‧‧全面性曝光系統
1200‧‧‧基板圖案化平台
1210‧‧‧軌道系統
1220‧‧‧微影系統
1230‧‧‧運送系統
1240‧‧‧圖案曝光系統
1250‧‧‧全面性曝光系統
在附圖中:圖1A及1B說明根據習知技術之利用正型光阻之微影圖案化技術;圖2A及2B說明根據習知技術之利用負型光阻之微影圖案化技術;圖3說明基板之圖案化方法;圖4A-4E說明基板之圖案化方法;圖5說明基板之圖案化方法;圖6A及6B說明基板之圖案化方法;圖7說明根據一實施例之圖案化方法之最佳化演算法;圖8說明根據另一實施例之圖案化方法之最佳化演算法;圖9說明根據另一實施例之圖案化方法之最佳化演算法;及圖10A-10C提供根據數個實施例之基板圖案化平台之示意圖。
500...流程圖
510...在基板上形成輻射敏感性材料層
520...施行輻射敏感性材料層之圖案化曝光
530...施行第一曝後烤
540...施行輻射敏感性材料層之正型顯影
550...施行輻射敏感性材料層之全面性曝光
560...施行第二曝後烤
570...施行輻射敏感性材料層之負型顯影

Claims (20)

  1. 一種基板之圖案化方法,包含:在基板上形成輻射敏感性材料層;施行該輻射敏感性材料層之圖案化曝光;在該施行圖案化曝光之步驟之後,施行第一曝後烤;施行該輻射敏感性材料層之正型顯影,以自該基板移除第一輻射敏感性材料部分;及施行該輻射敏感性材料層之全面性曝光;在該施行全面性曝光之步驟之後,施行第二曝後烤;及施行該輻射敏感性材料層之負型顯影,以自該基板移除第二輻射敏感性材料部分。
  2. 如申請專利範圍第1項之基板之圖案化方法,其中該施行該輻射敏感性材料層之圖案化曝光之步驟包含:利用具有遮罩臨界尺寸之遮罩,使該輻射敏感性材料層曝光於輻射圖案,以形成具有高輻射曝光之該第一輻射敏感性材料部分、具有低輻射曝光之該第二輻射敏感性材料部分、及具有中輻射曝光之第三輻射敏感性材料部分。
  3. 如申請專利範圍第2項之基板之圖案化方法,更包含:藉由改變該圖案化曝光、該第一曝後烤、該正型顯影、該全面性曝光、該第二曝後烤、或其二者以上之任何組合,而調整具有該中輻射曝光之該第三輻射敏感性材料部分之臨界尺寸。
  4. 如申請專利範圍第3項之基板之圖案化方法,其中該調整步驟包含:調整該圖案化曝光之該遮罩臨界尺寸、該圖案化曝光之曝光劑量、該圖案化曝光之曝光強度、該圖案化曝光之曝光波長、該第一曝後烤之第一曝後溫度、該基板升高至該第一曝後溫度之時間、用以達到該第一曝後溫度之加熱速率、用以降低該第一曝後溫度之冷卻速率、該基板周圍在該基板升高至該第一曝後溫度期間之氣體環境之壓力、該基板周圍在該基板升高至該第一曝後溫度期間之氣體環境之組成、該第二曝後烤之第二曝後溫度、該基板升高至該第二曝後溫度之時間、用以達到該第二曝後溫度之加熱速率、用以降低該第二曝後溫度之冷卻速率、該基板周圍在該基板升高至該第二曝後溫度期間之氣體環境之壓力、該基板周圍在該基板升高至該第二曝後溫度期間之氣體環境之組成、該正型顯影用之第一顯影化學品之組成、施加該第一顯影化學品之持續時間、該第一顯影化學品之溫度、該負型顯影用之第二顯影化學品之組成、施加該第二顯影化學品之持續時間、該第二顯影化學品之溫度、該全面性曝光之曝光劑量、該全面性曝光之曝光強度、該全面性曝光之曝光波長、或該輻射敏感性材料層之組成、或其二者以上之任何組合。
  5. 如申請專利範圍第1項之基板之圖案化方法,其中該施行全面性曝光之步驟包含:將該基板曝光於具有可見光譜波長之電磁(EM)輻射、或具有紫外光譜波長之EM輻射、或其組合。
  6. 如申請專利範圍第1項之基板之圖案化方法,其中該施行全面性曝光之步驟包含:將該基板曝光於連續EM輻射、脈衝EM輻射、多鉻EM輻射、單鉻EM輻射、寬頻EM輻射、或窄頻輻射、或其組合。
  7. 如申請專利範圍第1項之基板之圖案化方法,其中該施行全面性曝光之步驟包含:將該基板曝光於436 nm(奈米)EM輻射、365nm EM輻射、248 nm EM輻射、193 nm EM輻射、157 nm EM輻射及13 nm EM輻射、或深紫外光(DUV)EM輻射、或其二者以上之任何組合。
  8. 如申請專利範圍第1項之基板之圖案化方法,其中該輻射敏感性材料層包含正型光阻、雙型光阻、或具有一種以上之光酸產生劑之光阻。
  9. 如申請專利範圍第8項之基板之圖案化方法,其中:該輻射敏感性材料層包含第一光酸產生劑及第二光酸產生劑;當曝光於第一波長或第一範圍波長之EM輻射時,該第一光酸產生劑在該輻射敏感性材料層中產生酸;及當曝光於第二波長或第二範圍波長之EM輻射時,該第二光酸產生劑在該輻射敏感性材料層中產生酸。
  10. 如申請專利範圍第9項之基板之圖案化方法,其中該施行圖案化曝光之步驟係用以將該輻射敏感性材料層曝光於該第一波長或第一波長範圍,且該施行全面性曝光之步驟係用以將該輻射敏感性材料層曝光於該第二波長或第二波長範圍。
  11. 如申請專利範圍第9項之基板之圖案化方法,其中該施行全面性曝光之步驟係用以將該輻射敏感性材料層曝光於該第一波長或第一波長範圍及該第二波長或第二波長範圍。
  12. 如申請專利範圍第1項之基板之圖案化方法,其中該輻射敏感性材料層包含由於在該施行圖案化曝光、該施行全面性曝光、該在圖案化曝光之後施行第一曝後烤、或該在全面性曝光之後施行第二曝後烤、或其二者以上之任何組合時之極性改變而轉換溶解度之光阻,或者該輻射敏感性材料層包含在該施行圖案化曝光、該施行全面性曝光、該在圖案化曝光之後施行第一曝後烤、或該在全面性曝光之後施行第二曝後烤、或其二者以上之任何組合時提供酸催化去保護之光阻。
  13. 一種圖案化基板用之平台,包含:軌道系統,用以以輻射敏感性材料層塗佈基板;微影系統,包含用以將該基板曝光至圖案化EM輻射之圖案曝光系統;全面性曝光系統,用以將該基板曝光至未圖案化EM輻射;及運送系統,用以在該軌道系統、該圖案曝光系統、及該全面性曝光系統之間運送該基板。
  14. 如申請專利範圍第13項之圖案化基板用之平台,其中該全面性曝光系統與該圖案曝光系統一同整合於該微影系統內。
  15. 如申請專利範圍第14項之圖案化基板用之平台,其中該圖案曝光系統包含輻射源、遮罩成像系統、及基板支座。
  16. 如申請專利範圍第15項之圖案化基板用之平台,其中該全面性曝光系統包含該輻射源、無遮罩成像系統、及該基板支座。
  17. 如申請專利範圍第16項之圖案化基板用之平台,其中係將該全面性曝光系統整合於該軌道系統內。
  18. 如申請專利範圍第13項之圖案化基板用之平台,其中該全面性曝光系統包含與該軌道系統及該圖案曝光系統分開、且耦接至該軌道系統或該圖案曝光系統或兩者之獨立(stand-alone)模組。
  19. 如申請專利範圍第13項之圖案化基板用之平台,其中該全面性曝光系統係用以將該基板曝光於連續EM輻射、脈衝EM輻射、多鉻EM輻射、單鉻EM輻射、寬頻EM輻射、或窄頻輻射、或其組合。
  20. 如申請專利範圍第13項之圖案化基板用之平台,其中該全面性曝光系統包含一個以上燈具、一個以上LED、或一個以上雷射、或其二者以上之組合。
TW099113316A 2009-04-27 2010-04-27 微影應用中之雙型顯影用之全面性曝光製程 TWI459440B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/430,203 US8568964B2 (en) 2009-04-27 2009-04-27 Flood exposure process for dual tone development in lithographic applications

Publications (2)

Publication Number Publication Date
TW201108300A TW201108300A (en) 2011-03-01
TWI459440B true TWI459440B (zh) 2014-11-01

Family

ID=42992457

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099113316A TWI459440B (zh) 2009-04-27 2010-04-27 微影應用中之雙型顯影用之全面性曝光製程

Country Status (3)

Country Link
US (2) US8568964B2 (zh)
TW (1) TWI459440B (zh)
WO (1) WO2010126735A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109313394A (zh) * 2016-05-13 2019-02-05 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2138898B1 (en) * 2007-04-13 2014-05-21 FUJIFILM Corporation Method for pattern formation, and use of resist composition in said method
JP4617337B2 (ja) * 2007-06-12 2011-01-26 富士フイルム株式会社 パターン形成方法
JP4590431B2 (ja) * 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
US8129080B2 (en) * 2008-09-19 2012-03-06 Tokyo Electron Limited Variable resist protecting groups
US8338086B2 (en) * 2010-03-31 2012-12-25 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8435728B2 (en) * 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8563231B2 (en) * 2011-09-22 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and materials for lithography
JP6266886B2 (ja) * 2012-02-09 2018-01-24 東京応化工業株式会社 レジストパターン形成方法
US20130254725A1 (en) * 2012-03-23 2013-09-26 Texas Instruments Incorporated Extraction of imaging parameters for computational lithography using a data weighting algorithm
JP6515140B2 (ja) * 2013-06-05 2019-05-15 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
JP2015082046A (ja) * 2013-10-23 2015-04-27 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、及び、電子デバイス
JP5806350B2 (ja) * 2014-02-13 2015-11-10 東京エレクトロン株式会社 半導体装置の製造方法
US9519227B2 (en) 2014-02-24 2016-12-13 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR)
WO2015127348A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist
US9618848B2 (en) * 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR102402422B1 (ko) 2014-02-25 2022-05-25 도쿄엘렉트론가부시키가이샤 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술
CN106707691B (zh) * 2015-07-15 2018-10-16 上海微电子装备(集团)股份有限公司 曝光装置及方法
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
KR102177192B1 (ko) 2016-05-13 2020-11-10 도쿄엘렉트론가부시키가이샤 광 작용제의 사용에 의한 임계 치수 제어
DE102016221261A1 (de) 2016-10-28 2018-05-03 Carl Zeiss Smt Gmbh Verfahren zur mikrolithographischen Herstellung mikrostrukturierter Bauelemente
US20190079408A1 (en) * 2017-09-08 2019-03-14 Globalfoundries Inc. Dual developing methods for lithography patterning
WO2019060570A1 (en) * 2017-09-22 2019-03-28 Tokyo Electron Limited METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN114509916B (zh) * 2020-11-16 2024-03-08 香港大学 激光干涉光刻设备和方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6114082A (en) * 1996-09-16 2000-09-05 International Business Machines Corporation Frequency doubling hybrid photoresist having negative and positive tone components and method of preparing the same
TW574608B (en) * 2002-07-24 2004-02-01 Nat Science Council Zwitterresist lithography
TW200529294A (en) * 2004-02-18 2005-09-01 Taiwan Semiconductor Mfg Method for forming openings in a substrate using a packing and unpacking process
US20070077526A1 (en) * 2005-10-05 2007-04-05 Asml Netherlands B.V. Method of patterning a positive tone resist layer overlaying a lithographic substrate
US20090068589A1 (en) * 2007-09-06 2009-03-12 Massachusetts Institute Of Technology Multi-tone resist compositions

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5100013A (en) * 1990-01-29 1992-03-31 Extrudiplast Investments, S.A. Plastic closure
US5914202A (en) * 1996-06-10 1999-06-22 Sharp Microeletronics Technology, Inc. Method for forming a multi-level reticle
US5776660A (en) * 1996-09-16 1998-07-07 International Business Machines Corporation Fabrication method for high-capacitance storage node structures
US6190829B1 (en) * 1996-09-16 2001-02-20 International Business Machines Corporation Low “K” factor hybrid photoresist
US5955222A (en) * 1996-12-03 1999-09-21 International Business Machines Corporation Method of making a rim-type phase-shift mask and mask manufactured thereby
US6218704B1 (en) * 1997-05-07 2001-04-17 International Business Machines Corporation ESD protection structure and method
US5861330A (en) * 1997-05-07 1999-01-19 International Business Machines Corporation Method and structure to reduce latch-up using edge implants
US5882967A (en) * 1997-05-07 1999-03-16 International Business Machines Corporation Process for buried diode formation in CMOS
US5972570A (en) * 1997-07-17 1999-10-26 International Business Machines Corporation Method of photolithographically defining three regions with one mask step and self aligned isolation structure formed thereby
US5981148A (en) 1997-07-17 1999-11-09 International Business Machines Corporation Method for forming sidewall spacers using frequency doubling hybrid resist and device formed thereby
US6007968A (en) * 1997-10-29 1999-12-28 International Business Machines Corporation Method for forming features using frequency doubling hybrid resist and device formed thereby
US5998835A (en) * 1998-02-17 1999-12-07 International Business Machines Corporation High performance MOSFET device with raised source and drain
US6210866B1 (en) * 1998-05-04 2001-04-03 International Business Machines Corporation Method for forming features using self-trimming by selective etch and device formed thereby
US6184041B1 (en) * 1998-05-13 2001-02-06 International Business Machines Corporation Fused hybrid resist shapes as a means of modulating hybrid resist space width
US6137128A (en) * 1998-06-09 2000-10-24 International Business Machines Corporation Self-isolated and self-aligned 4F-square vertical fet-trench dram cells
US5998848A (en) 1998-09-18 1999-12-07 International Business Machines Corporation Depleted poly-silicon edged MOSFET structure and method
US6221562B1 (en) * 1998-11-13 2001-04-24 International Business Machines Corporation Resist image reversal by means of spun-on-glass
JP3943741B2 (ja) 1999-01-07 2007-07-11 株式会社東芝 パターン形成方法
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6144086A (en) * 1999-04-30 2000-11-07 International Business Machines Corporation Structure for improved latch-up using dual depth STI with impurity implant
US6338934B1 (en) * 1999-08-26 2002-01-15 International Business Machines Corporation Hybrid resist based on photo acid/photo base blending
US6348301B1 (en) * 1999-10-27 2002-02-19 United Microelectronics Corp. Method of reducing a critical dimension of a patterned photoresist layer
US6242344B1 (en) * 2000-02-07 2001-06-05 Institute Of Microelectronics Tri-layer resist method for dual damascene process
US6627361B2 (en) * 2001-07-09 2003-09-30 International Business Machines Corporation Assist features for contact hole mask patterns
US20040033440A1 (en) * 2002-08-09 2004-02-19 Kazunori Maeda Photoacid generators, chemically amplified positive resist compositions, and patterning process
WO2005081295A1 (ja) * 2004-02-20 2005-09-01 Nikon Corporation 露光方法、露光装置及び露光システム並びにデバイス製造方法
KR100639680B1 (ko) * 2005-01-17 2006-10-31 삼성전자주식회사 반도체 소자의 미세 패턴 형성방법
CN1828429A (zh) * 2005-02-28 2006-09-06 明德国际仓储贸易(上海)有限公司 显影液组成物
US20070269749A1 (en) * 2006-05-18 2007-11-22 Richard Elliot Schenker Methods to reduce the minimum pitch in a pattern
KR100876808B1 (ko) * 2006-07-10 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US20080113157A1 (en) * 2006-11-13 2008-05-15 Seagate Technology Llc Method for fabricating master stamper/imprinters for patterned recording media utilizing hybrid resist
JP4554665B2 (ja) 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6114082A (en) * 1996-09-16 2000-09-05 International Business Machines Corporation Frequency doubling hybrid photoresist having negative and positive tone components and method of preparing the same
TW574608B (en) * 2002-07-24 2004-02-01 Nat Science Council Zwitterresist lithography
TW200529294A (en) * 2004-02-18 2005-09-01 Taiwan Semiconductor Mfg Method for forming openings in a substrate using a packing and unpacking process
US20070077526A1 (en) * 2005-10-05 2007-04-05 Asml Netherlands B.V. Method of patterning a positive tone resist layer overlaying a lithographic substrate
US20090068589A1 (en) * 2007-09-06 2009-03-12 Massachusetts Institute Of Technology Multi-tone resist compositions

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109313394A (zh) * 2016-05-13 2019-02-05 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
CN109313394B (zh) * 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制

Also Published As

Publication number Publication date
US20100273099A1 (en) 2010-10-28
US8568964B2 (en) 2013-10-29
US20100273111A1 (en) 2010-10-28
TW201108300A (en) 2011-03-01
US7829269B1 (en) 2010-11-09
WO2010126735A1 (en) 2010-11-04

Similar Documents

Publication Publication Date Title
TWI459440B (zh) 微影應用中之雙型顯影用之全面性曝光製程
US8574810B2 (en) Dual tone development with a photo-activated acid enhancement component in lithographic applications
JP5944484B2 (ja) リソグラフィ適用において感放射線材料のラインを幅狭化する方法
KR100639680B1 (ko) 반도체 소자의 미세 패턴 형성방법
US8129080B2 (en) Variable resist protecting groups
JP5663656B2 (ja) リソグラフィ用途において放射線感受性を有する材料のラインを細くする方法
US20100119960A1 (en) Dual Tone Development Processes
KR20070070036A (ko) 반도체 소자의 제조 방법
CN108983546A (zh) 微影方法
KR20130039124A (ko) 반도체 소자의 패턴 형성방법
US8257911B2 (en) Method of process optimization for dual tone development
CN106168737B (zh) 化学增幅光阻材料、共聚物及微影方法
KR100772801B1 (ko) 반도체 소자의 제조 방법
US9651870B2 (en) Method and tool of lithography
CN108227409B (zh) 光刻图案化的方法
US20100055624A1 (en) Method of patterning a substrate using dual tone development
TW201824340A (zh) 微影圖案化的方法
WO2010025198A1 (en) Method of patterning a substrate using dual tone development
JP2005175259A (ja) パターン形成方法、マスクおよび露光装置
KR100802229B1 (ko) 반도체 소자의 패턴 형성 방법
JP2004296840A (ja) レジストパターン形成方法及びレジストパターン形成システム及び層形成装置
KR20030000917A (ko) 반도체 소자의 패터닝 방법
KR20060018722A (ko) 반도체 장치의 미세 패턴 형성 방법
KR20100059192A (ko) 반도체 소자의 더블 패터닝 방법
JP2005266477A (ja) パターン形成方法