KR102402422B1 - 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술 - Google Patents

현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술 Download PDF

Info

Publication number
KR102402422B1
KR102402422B1 KR1020167026319A KR20167026319A KR102402422B1 KR 102402422 B1 KR102402422 B1 KR 102402422B1 KR 1020167026319 A KR1020167026319 A KR 1020167026319A KR 20167026319 A KR20167026319 A KR 20167026319A KR 102402422 B1 KR102402422 B1 KR 102402422B1
Authority
KR
South Korea
Prior art keywords
wavelength
layer
acid
light
substrate
Prior art date
Application number
KR1020167026319A
Other languages
English (en)
Other versions
KR20160127069A (ko
Inventor
스티븐 셰어
마이클 에이 카르카시
벤자멘 엠 라스색
마크 에이치 서머벨
조슈아 에스 후게
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160127069A publication Critical patent/KR20160127069A/ko
Application granted granted Critical
Publication of KR102402422B1 publication Critical patent/KR102402422B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Electromagnetism (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 발명은 반도체 기판 상에 감광성 필름(예를 들어, 반사 방지 코팅 상의 포토레지스트)을 패터닝하기 위한 감광성 화학 증폭 레지스트 화학물(PS-CAR)을 위한 방법을 설명하고 있다. 일 실시예에서, 2단계 노광 프로세스가 포토레지스트층 내에 더 높은 산 농도 영역을 발생할 수도 있다. PS-CAR 화학물은 광산 발생제(PAG) 및 산으로의 PAG의 분해를 향상시키는 감광제 원소를 포함할 수도 있다. 제1 노광은 산 및 감광제의 초기량을 발생하는 패터닝된 EUV 또는 UV 노광일 수도 있다. 제2 노광은 감광제가 필름 스택 내에 위치되는 산 발생율을 증가시키는 감광제를 여기하는 비-EUV 플러드 노광일 수도 있다. 노광 중에 에너지의 분포는 포토레지스트층, 하위층, 및/또는 상위층의 특정 특성(예를 들어, 두께, 굴절률, 도핑)을 사용하여 최적화될 수도 있다.

Description

현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술{CHEMICAL AMPLIFICATION METHODS AND TECHNIQUES FOR DEVELOPABLE BOTTOM ANTI-REFLECTIVE COATINGS AND DYED IMPLANT RESISTS}
관련 출원의 상호 참조
본 출원은 본 명세서에 그대로 참조로서 합체되어 있는 발명의 명칭이 "현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술(Chemical Amplification Methods and Techniques for Developable Bottom Anti-reflective Coatings and Dyed Implant Resists)"인 2014년 2월 25일 출원된 미국 가특허 출원 제61/944,394호의 이익을 청구한다.
현상제 가용성 하부 반사 방지 코팅(Bottom Anti-reflective Coating: DBARC) 기술은 전자 디바이스 제조 용례에 매력적인 BARC 개방 에칭의 요구 없이 기판 반사 제어를 제공하는 특유한 능력을 제공한다. 주입물 리소그래피는 특히 193 nm 주입물층을 위한, 향상된 반사 제어에 기인하여 DBARC 기술로부터 이익을 얻는다. DBARC 기술은 또한 종래의 리소그래피 BARC를 BRAC 개방 에칭 단계를 제거하는 DBARC로 대체함으로써 모든 포토리소그래피층의 소유권의 감소된 비용을 또한 제공한다. 이에 따라, 반사 제어를 향상시키고 비용을 감소시키기 위한 기술이 바람직할 수도 있다.
본 발명은 전자 디바이스를 제조하는데 사용된 포토리소그래피, 특히 주입물층 리소그래피를 위해 주로 DBARC 프로세스 통합 및 CD/프로파일 제어를 돕기 위한 재료 및 프로세스 향상에 관한 것이다. 감광성 재료 내의 산 발생을 향상시키는 일 접근법은 노광 프로세스 중에 발생할 수도 있는 화학 작용을 증폭하는 화학 화합물을 혼입하는 것일 수도 있다. 이 접근법의 일 예는 감광성 재료 또는 레지스트 내의 화학 반응을 증가시킬 수도 있는 화학 증폭 레지스트(chemically amplified resist: CAR)일 수도 있다. 이 방식으로, 레지스트 내의 화학물의 농도는 이러한 농도가 다른 방식으로 수용되었던 광 노광의 양 또는 유형을 고려한 것보다 큰 정도로 증가할 수도 있다. 감광성 재료는 포토레지스트, 염색된 포토레지스트, 또는 반사 방지 코팅 필름을 포함할 수도 있지만, 이들로 한정되는 것은 아니다.
현상제 가용성 하부 반사 방지 코팅(DBARC) 기술이 BARC 개방 에칭 프로세스의 필요성 없이 기판 반사 제어를 제공하는 그 특유한 능력을 위해 산업 분야에 의해 고려되고 있다. DBARC에 대해, DBARC를 위해 의도된 적어도 3개의 구현 경로들이 존재한다. 1) 폴리아믹산 유도체와 같은 등방성 현상을 나타내는 비-감광성 현상제 가용성 시스템. 2) 벤질 메타크릴레이트(BMA) 및 메발론 락톤 메타크릴레이트(MLMA)와 같은 현상 가용성을 위한 감광성 벽개 반응(cleave reaction)을 개시하고 또는 하이드록실 함유 폴리머와 같은 비닐로지 재료와 같은 현상 가용성을 위한 가교결합/탈-가교결합 반응을 개시하는 감광성 DBARC. 3) 무-광산 발생제(photoacid generator: PAG) DBARC 내의 포토레지스트 유도된 현상. 이 접근법에서, DBARC는 고유적으로 감광성은 아니고, 현상을 위해 노광된 포토레지스트로부터 광산을 확산하는 것에 의존한다. 대안적으로, 산 증폭 기술은 또한 포토레지스트에 적용될 수도 있고, 청구범위의 범주는 DBARC 용례에 한정되는 것은 아니다.
3개의 경로 중 2개에서, 일 접근법은 포토레지스트 대신에 또는 추가하여 DBARC 자체 내로 감광성 화학 증폭 레지스트(PS-CAR) 화학물을 혼입함으로써 구현될 수도 있다. 이 접근법은 PS-CAR 화학물/UV 플러드(flood) 선량(dose)으로부터 DBARC 내의 산 농도 증폭에 기인하여 잔류하는 유기 잔류물을 제거하는 것을 도울 수도 있다. 이 기술은 또한 UV 플러드 선량을 거쳐 더 용이한 노광 관용도(latitude) 정합/제어를 허용할 수도 있는 개별 DBARC CD 제어 노브를 허용할 수도 있다. DBARC 구현 경로 3과 관련하여, 이는 무-PAG DBARC 내의 포토레지스트 유도된 현상 거동을 사용할 수도 있다. 다른 접근법은 레지스트/BARC 계면에서의 높은 산 농도를 보장하기 위해 반사율 제어를 사용하여 포토레지스트 내로 PSCAR 화학물을 혼입하는 것일 수도 있다. 본 실시예는 또한, UV 플러드 선량을 거쳐 더 용이한 노광 관용도(latitude) 정합/제어를 허용할 수도 있는 개별 DBARC CD 제어 노브를 또한 허용할 수도 있으면, 레지스트 DBARC 확산 프로세스로부터 DBARC 내의 더 높은 산 농도에 기인하여 잔류하는 유기 잔류물을 제거하는 것을 도울 수도 있다.
다수의 가능한 접근법이 DBARC 및/또는 포토레지스트층 내의 산의 농도를 선택적으로 제어하도록 존재할 수도 있다. 일 접근법은 다른 필름 계면에 비해 하나의 필름 계면에 대해 더 높은 친화도를 갖도록 PAG 또는 다른 화합물을 유도하도록 PS-CAR 화학물을 구성하거나 변경하는 것일 수도 있다. 이 방식으로, PAG 또는 다른 화합물은 적어도 부분적으로 표면 에너지 또는 화학적 전위차에 기초하여 필름 내의 위치로 확산하거나 이주할 수도 있다. 대안적으로, 필름 스택은 필름 스택 내의 특정 위치 내에 광 흡수 원소(예를 들어, 발색단(chromophore))를 혼입할 수도 있다. 광 흡수 원소는 광을 흡수하고, 광 흡수 원소에 근접하여 산을 발생하는데 사용될 수도 있는 인접한 화학물에 에너지를 전달할 수도 있다. 이 방식으로, 필름 내의 또는 필름 스택의 계면에서 산 발생은 광 흡수 재료를 포함하지 않을 수도 있는 다른 영역 내에서보다 필름 또는 필름 스택의 원하는 영역 내에 더 높은 산 농도를 발생하도록 제어될 수도 있다. 필름 또는 필름 스택 내에 더 높은 산 농도를 발생하기 위한 다른 접근법은 필름 스택의 선택된 영역에서 더 높은 레벨의 에너지를 선택적으로 도입하는 것일 수도 있다. 예를 들어, 중첩의 원리 하에서, 필름 스택 내의 입사 및 반사광의 보강 간섭(constructive interference)은 적어도 부분적으로, 광 파장, 필름의 굴절률, 및/또는 필름의 두께에 기초하여 필름 스택의 국부화된 영역 내에 더 높은 레벨의 에너지를 발생할 수도 있다. 보강 간섭 영역 내의 광은 더 많은 양의 에너지를 필름 내로 전달할 수도 있는 데, 이는 보강 간섭이 발생하지 않을 수도 있는 또는 더 작은 크기의 필름의 다른 부분에서보다 그 영역 내에서 더 많은 양의 산이 발생되게 할 수도 있다. 다른 접근법은 전술된 접근법 중 하나 이상의 조합을 사용하는 것일 수도 있다.
필름 스택은 포토레지스트층과 기판 상의 하위층 사이에 배치된 반사 방지 코팅(ARC)층을 포함할 수도 있다. PS-CAR 화학물은 ARC층 또는 포토레지스트층 내에 혼입될 수도 있다. 필름 스택 내의 산 발생은 포토레지스트층이 현상될 수도 있을 때 개구의 기하학 구조를 최적화하기 위해 ARC층 또는 포토레지스트층 내에서 발생할 수도 있다. 필름 스택의 화학물 및/또는 물리적 구성은 필름 스택의 선택된 영역 내에 더 높은 농도의 산을 선택적으로 발생하기 위해 광 노광 프로세스와 함께 최적화될 수도 있다.
일 실시예에서, ARC층 또는 포토레지스트층은 노광 프로세스 중에 발생할 수도 있는 화학 반응을 증폭하는 화학 화합물을 혼입할 수도 있다. 이 접근법의 일 예는 감광성 재료 또는 포토레지스트 내의 화학 반응 또는 화학 농도를 증가시킬 수도 있는 PS-CAR를 사용하는 것일 수도 있다. 포토레지스트 내의 화학 농도는 2단계 노광 프로세스를 사용하여 제어될 수도 있다.
제1 노광은 레지스트 내의 화학물의 반응에 의해 광산 발생제(photoacid generator: PAG)로부터 감광제(PS) 및 산을 발생하는 패터닝된 노광일 수도 있다. 제1 노광 프로세스는 PS에 의해 흡수율을 최대화하고 PAG의 흡수율을 최대화하도록 조정될 수도 있다. 이는 일 실시예에서, 300 nm 미만의 광 파장을 선택함으로써 성취될 수도 있다. 제2 노광은 제1 노광의 어두운 또는 비노광 영역에서 산 농도를 최소화하면서 노광된 패턴 영역 내의 산의 증폭을 얻기 위해 부가의 PAG를 분해할 수도 있는 PS를 여기할 수도 있다. 일 실시예에서, 2단계 노광 프로세스는 2개의 상이한 파장[예를 들어, 극자외선(EUV), 자외광]을 사용할 수도 있다. 패터닝된 노광은 EVU 또는 UV 스펙트럼 파장을 사용할 수도 있고, 플러드 노광은 UV 스펙트럼 파장을 사용할 수도 있다. PS-CAR 화학물은 ARC층 또는 포토레지스트층 내의 제1 산 농도로 산의 발생을 제어하는 제1 광 파장 활성화 임계치를 갖도록 구성될 수도 있다. 제2 노광은 제1 산 농도를 제2 산 농도를 증가시키는 제2 광 파장 활성화 임계치를 갖는 PS-CAR 화학물에 기인하여 제1 산 농도로부터 제2 더 높은 농도를 발생할 수도 있다.
다른 실시예에서, 산은 필름 스택의 원하는 영역 내에 보강 간섭을 유도하기 위해 필름 스택의 구조를 변경함으로써 필름 스택 내에서 선택적으로 발생될 수도 있다. 이는 층 두께, 층의 굴절률, 및/또는 광 파장 및/또는 선량을 최적화함으로써 성취될 수도 있고, 대안 실시예에서, 광은 광 흡수 재료(예를 들어, 발색단)를 선택적으로 포함하는 필름 스택의 국부화된 영역 내에서 흡수될 수도 있다.
본 명세서에 합체되어 그 부분을 구성하는 첨부 도면은 본 발명의 실시예를 도시하고 있고, 상기에 제공된 본 발명의 일반적인 설명, 및 이하에 제공된 상세한 설명과 함께, 본 발명을 설명하는 역할을 한다. 부가적으로, 도면 부호의 최좌측 숫자(들)는 도면 부호가 최초로 출현한 도면을 식별한다.
도 1a는 제1 범위의 광 파장에 노광된 후에 PS-CAR 반사 방지 코팅(anti- reflective coating: ARC)층을 위한 화학 농도 프로파일 및 제1 광 파장의 패터닝된 노광을 수용하는 기판 상의 필름 스택의 단면도를 도시하고 있다.
도 1b는 제2 범위의 광 파장에 노광된 후에 도 1a의 PS-CAR ARC층을 위한 화학 농도 프로파일 및 제2 범위의 광 파장에 플러드 노광(flood exposure)을 수용하는 그 기판 상의 필름 스택의 단면도를 도시하고 있다.
도 1c는 제2 범위의 광 파장에 노광된 후에 PS-CAR 포토레지스트층을 위한 화학 농도 프로파일 및 제2 범위의 광 파장에 플러드 노광을 수용하는 그 기판 상의 필름 스택의 단면도를 도시하고 있다.
도 1d는 제2 범위의 광 파장에 노광된 후에 PS-CAR 포토레지스트층을 위한 화학 농도 프로파일 및 제2 범위의 광 파장에 플러드 노광을 수용하는 그 기판 상의 필름 스택의 단면도를 도시하고 있다.
도 2는 필름 스택(예를 들어, 포토레지스트층)의 2단계 노광 프로세스를 위한 방법의 흐름도의 도면 및 방법의 일 실시예의 수반하는 단면도를 포함하고 있다.
도 3a 내지 도 3b는 다른 필름 스택(예를 들어, 포토레지스트층 및 ARC층)의 2단계 노광 프로세스를 위한 방법의 흐름도의 도면 및 방법의 일 실시예의 수반하는 단면도를 포함하고 있다.
상기 설명은 단지 본 발명의 예시일 뿐이라는 것이 이해되어야 한다. 다양한 대안 및 수정이 본 발명으로부터 벗어나지 않고 당 기술 분야의 숙련자들에 의해 안출될 수 있다. 이에 따라, 본 발명은 첨부된 청구범위의 범주 내에 있는 모든 이러한 대안, 수정 및 변형을 포함하도록 의도된다.
반도체 제조에 있어서, 포토레지스트 또는 감광성 재료가 기판 상에 필름을 증착, 패터닝, 및 에칭함으로써 전자 디바이스를 제조하는데 사용되어 왔다. 전자 디바이스의 치수를 축소하고 화상 품질(예를 들어, 분해능, 라인 폭 조도, 및 감도)을 유지하는 것은 디바이스 성능 및 수율을 향상시킨다. 극자외선(Extreme Ultraviolet: EUV) 포토리소그래피는 기존의 포토레지스트 화학물에 영향을 미칠 수도 있는 고유의 제한을 갖는 치수 축소를 위한 일 접근법이다. 예를 들어, EUV 포토레지스트는 레지스트 감도 및 화상 분해능을 유지하면서 EUV 광원의 더 낮은 전력을 보상하는 것을 요구할 수도 있다. 더 낮은 전력 제한을 처리하기 위한 일 접근법은, 세이이치 타가와(Seiichi Tagawa) 등에 의한 논문 "Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist(PS-CAR) Process," Journal of Photopolymer Science and Technology, vol. 28(2013년) No. 6, Pg. 825 내지 830에 설명된 바와 같이 감광성 화학 증폭된 레지스트(Photosensitized Chemically Amplified Resist: PS-CAR)를 사용하는 것을 포함할 수도 있다. PS-CAR의 사용은 EUV 프로세싱을 가능하게 하기 위한 기회를 제공하였지만, 부가의 기술이 EUV 프로세싱을 위한 화상 품질을 향상시키기 위해 포토레지스트층 또는 ARC층 내의 흡착 및 반사율 제어를 향상시키는 데 사용될 수도 있다. 그러나, 이들 기술은 EUV 프로세싱에 한정되지 않을 수도 있고, 또한 UV 포토리소그래피 프로세싱을 위해 사용될 수도 있다.
PS-CAR 화학물은 적어도 부분적으로 포토레지스트로 코팅된 기판에 노광되는 광의 유형에 기초하여, 상이한 농도의 산 및/또는 감광제(PS)를 발생할 수도 있는 2단계 노광 프로세스에 의해 가능하게 될 수도 있다. 산은 광이 포토레지스트 내의 PAG와 상호작용할 때 발생될 수도 있고, PS는 광이 포토레지스트 내에 합체될 수도 있는 PS 발생제와 상호작용할 때 발생될 수도 있다.
PS-CAR 포토레지스트는 감광제 발생 화합물 및 광산 발생(photoacid generation: PAG) 화합물을 포함할 수도 있다. 감광제 분자는 광에너지를 흡수하고 광에너지를 다른 분자(예를 들어, PAG)에 전달할 수도 있다. 몇몇 PS 화합물은 접지 상태에서 에너지를 전달할 수도 있고, 반면에 다른 화합물은 여기 상태에서 전달을 수행할 수도 있다. PS 발생 화합물은 아세토페논, 트리페닐렌, 벤조페논, 플루오레논, 안트라퀴논, 페난트렌, 또는 이들의 유도체를 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 광산 발생제(PAG)는 흡수된 광에너지를 화학 에너지로 변환할 수도 있는(예를 들어, 산성 반응) 양이온 광개시제일 수도 있다. 산 발생 화합물은 트리페닐설포늄 트리플레이트, 트리페닐설포늄 노나플레이트, 트리페닐설포늄 퍼플루오로옥틸설포네이트, 트리아릴설포늄 트리플레이트, 트리아릴설포늄 노나플레이트, 트리아릴설포늄 퍼플루오로옥틸설포네이트, 트리페닐설포늄염, 트리아릴설포늄염, 트리아릴설포늄 헥사플루오로안티모네이트염, N-하이드록시나프탈이미드 트리플레이트, 1,1-비스[p-클로로페닐]-2,2,2-트리클로로에탄(DDT), 1,1-비스[p-메톡시페닐]-2,2,2-트리클로로에탄, 1,2,5,6,9,10-헥사브로모사이클로도데칸, 1,10-디브로모데칸, 1,1-비스[p-클로로페닐]2,2-디클로로에탄, 4,4-디클로로-2-(트리클로로메틸)벤지드롤, 1,1-비스(클로로페닐)2-2,2-트리클로로에탄올, 헥사클로로디메틸설폰, 2-클로로-6-(트리클로로메틸)피리딘, 또는 이들의 유도체 중 적어도 하나를 포함할 수도 있지만, 이들로 한정되는 것은 아니다.
도 1a 및 도 1b를 참조하면, 도 1a는 제1 노광 후에 산(106) 및 PS(108)의 농도의 그래픽 표현(100) 및 기판(104) 상의 필름 스택의 단면도(102)를 포함하고 있다. 도 1b는 제2 노광 후에 산(120) 및 PS(122)의 농도의 그래픽 표현(118) 및 기판(104) 상의 필름 스택의 단면도(126)를 포함하고 있다. 일 실시예에서, 제1 노광은 300 nm 미만일 수도 있는 주요 광(114) 파장을 가질 수도 있고, 제2 노광은 300 nm 초과일 수도 있는 대부분의 광 파장을 가질 수도 있다.
도 1a의 그래픽 도면(100)은 제1 노광의 결과를 도시하고 있는 데, 여기서 PS-CAR는 제1 노광 중에 비교적 저농도의 산(106) 및 PS(108)를 발생하도록 구성될 수도 있다. 제1 노광광 파장 광은 산(106)의 초기량 및 PS(108)의 초기량을 발생하는 비교적 낮은 에너지를 가질 수도 있다. 그래픽 도면(100)은 설명의 목적으로 사용되고, 2단계 프로세스 중에 온도의 차이를 도시하고 있도록 의도되고, 제1 또는 제2 노광 중에 농도의 스케일 또는 범주를 한정하도록 의도된 것은 아니다. 의도는 PAG가 제1 노광 중에 산으로 완전히 분해되거나 변환되지 않을 수도 있고, 제2 노광은 ARC층(128) 내의 산 농도를 증가시킬 수도 있다는 것을 강조하는 것이다.
일 실시예에서, 필름 스택은 반사 방지 코팅(ARC)층(128) 상에 증착된 포토레지스트층(110) 및 기판(104) 상에 형성되거나 증착되었던 하위층(underlying layer)(112)을 포함할 수도 있다. ARC층(128)은 PS-CAR 화학물을 포함할 수도 있지만, 이에 한정되는 것은 아니고, 광의 반사율 또는 흡수율을 제어하는데 사용될 수도 있는 부가의 원소를 보유할 수도 있다. 제1 노광 중에, 산(106)의 초기량 및 PS(108)의 초기량은 제1 파장의 광(114)이 패턴 마스크(116)를 통해 노출될 때 발생될 수도 있다. PS-CAR 화학 반응은 광(114)이 ARC층(128)의 노광 영역과 상호작용할 때 개시된다. 광(114)이 ARC층(128)과 상호작용하지 않는 비노광 영역은, 노광 영역에 비교하여 PS-CAR 화학 반응이 발생하지 않을 수도 있거나 또는 최소 또는 무시할만한 양으로 발생할 수도 있다. 단면도(102)에 도시되어 있는 바와 같이, 산/PS의 농도는 ARC층(128)의 노광 영역에서 더 높을 수도 있다. 이 특정 실시예에서, 산/PS 농도는 ARC층(128)과 하위층(112)의 계면에서 또는 ARC층(128)과 포토레지스트층(110)의 계면에서 더 높을 수도 있다. 피크 산/PS 농도의 위치는 필름 스택 및 노광 특성에 따라 다양할 수도 있다.
도 1b에서, 제2 그래픽 도면(118)은 ARC층(128)의 제2 노광 후에 더 높은 레벨의 산(120) 농도 및/또는 PS 농도(122)를 도시하고 있다. 제2 노광은 제2 범위의 광(124) 파장의 플러드 또는 블랭킷(blanket) 노광일 수도 있다. 그러나, PS(122)는 PAG의 산 농도 또는 분해율을 증가시킬 것이다. PS(122)는 제1 노광 중에 제1 파장(114)에 노광되었던 포토레지스트층(110)의 부분에 위치될 수도 있다. 이에 따라, 산 농도(120)는 제2 노광 단면도(126)에 도시되어 있는 바와 같이, 제1 노광의 비노광된 위치에 대해 이들 위치에서 더 높을 수도 있다.
산 농도(122) 및 위치는 세이이치 타가와(Seiichi Tagawa) 등에 의한 "Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist Process," Journal of Photopolymer Science and Technology, vol. 28(2013년) No. 6, Pg. 825 내지 830에 설명된 이들 기술들에 추가하여, ARC층(128) 내의 산 농도의 위치 또는 산 농도(122)의 양을 제어하기 위해 포토레지스트층(110), ARC층(128), 및/또는 하위층(112)의 다양한 특성을 사용하여 최적화될 수도 있다. 이들 기술은 포토레지스트층(110)의 현상후 프로파일 또는 기하학 구조를 적합하게 하기 위해 노광 단계 중에 PAG/PS에 전달될 수도 있는 에너지의 양을 증가시킬 수도 있다.
다른 실시예에서, 패터닝된 노광은 필름 스택의 상이한 영역 내에 산을 선택적으로 발생시키는 데 사용될 수도 있다. 일 접근법에서, 산 발생제 또는 향상제의 위치는 필름 스택 내의 국부화된 영역을 향해 유도될 수도 있다. 광범위하게, 이는 ARC층(128) 또는 포토레지스트층(110)의 화학적 조성 또는 특성을 변경하는 것을 포함할 수도 있다. 이 접근법은 다른 필름 계면에 비해 일 필름 계면에 대한 더 높은 친화도를 갖도록 PAG 또는 다른 화합물을 유도하도록 PS-CAR 화학물을 구성하거나 변경하는 것을 포함할 수도 있지만, 이에 한정되는 것은 아니다. 이 방식으로, PAG 또는 다른 화합물은 표면 에너지 또는 화학적 전위차에 기초하여 확산하거나 이주할 수도 있다.
다른 접근법에서, 더 높은 레벨의 에너지가 ARC층(128) 또는 포토레지스트층(110)에 선택적으로 전달될 수도 있어 이들 선택 위치에서 산의 더 높은 농도를 발생한다. 일 실시예에서, 필름 스택은 필름 스택 내의 특정 위치 내에 광 흡수 원소(예를 들어, 발색단)를 구비할 수도 있다. 광 흡수 원소는 광을 흡수하고 광 흡수 원소에 근접하여 산을 발생하는데 사용될 수도 있는 인접한 발생제 화학물에 에너지를 전달할 수도 있다. 이 방식으로, 필름 내의 또는 필름 스택의 계면에서의 산 발생은 광 흡수 재료를 포함하지 않을 수도 있는 다른 영역에서보다 필름 또는 필름 스택의 원하는 영역 내의 더 높은 산 농도를 발생하도록 제어될 수도 있다.
일 실시예에서, ARC층(128) 또는 포토레지스트층(110)은 PSCAR 화학물의 불균일한 합체를 포함할 수도 있다. 이는 ARC층(128) 또는 포토레지스트층(110) 내의 PSCAR 화학물의 격리 능력을 도입하는 것을 포함할 수도 있다. 이는 일반적으로 필름 스택 내의 계면에 상이한 친화도를 갖도록 개별 ARC층(128) 또는 포토레지스트층(110) 화학 성분을 적합화하는 것을 수반한다. 격리 능력은 화학 성분 분리를 위해 추가된 구동력을 제공하기 위해 코트 및 베이크 하드웨어의 적합화에 의해 증대될 수도 있다. 일 실시예는 어떠한 유기 잔류물도 ARC층(128) 또는 포토레지스트층(110)/기판(104) 계면에 잔류하지 않는 것을 보장하기 위해 기판 표면 부근에 ARC층(128)을 탈보호하도록 충분한 산이 존재하는 것을 보장하는 것을 돕기 위해 기판(104)에 더 높은 농도의 PSCAR 화학물/감광제(Si, 산화물, 니트라이드 등)를 구동하는 것일 수도 있다. 다른 실시예는 ARC층(128)/포토레지스트층(110) 계면 부근에 ARC층(128)을 탈보호하고 그리고/또는 상부로부터 저부로 테이퍼지는 ARC층(128)벽을 보장하도록 충분한 산이 존재하는 것을 보장하기 위해 ARC층(128)/포토레지스트층(110) 계면에 더 높은 PSCAR 화학물/감광제를 구동하는 것일 수도 있다. 이들 실시예는 각형성된 주입물에 적용될 수도 있고, 테이퍼 레지스트/ARC 측벽이 새도잉 효과를 방지하기 위해 바람직하다. 또한, 이들 실시예는 UV 플러드 선량 선택을 거쳐 더 용이한 노광 관용도 정합/제어를 허용할 수도 있는 개별 ARC CD 제어 노브를 허용할 수도 있다. 본 실시예는 또한 감광제/UV 플러드 여기 및 후속의 ARC PAG 분해 프로세스로부터 ARC 내의 더 높은 산 농도에 기인하여 잔류하는 유기 잔류물을 제거하는 것을 잠재적으로 도울 수도 있다. 마지막으로, 본 실시예는 새도잉 효과를 방지하기 위해 각형성된 주입물을 위한 프로파일(테이퍼링)을 적합화하는 것을 도울 수 있는 부가의 측벽 각도(side wall angle: SWA) 제어를 허용할 수도 있다.
다른 실시예에서, ARC층(128) 또는 포토레지스트층(110)은 촬상 노광 파장에서 포토레지스트 반사 방지 제어에 악영향을 미치지 않고 감광성 ARC 내의 감광제 활성화/농도 조작 및 PS-CAR의 목표를 갖는 UV 플러드 파장에 특정한 하나 이상의 발색단(들)/염료를 포함할 수도 있다. 이는 포토레지스트층(110) 내의 반사 방지 제어를 여전히 허용하는 ARC층(128)을 위한 발색단(들)을 선택하는 것을 수반할 수도 있다. 이는 또한 UV 플러드 흡수 효과에 기인하는 최고 강도가 ARC층(128) 또는 포토레지스트층(110) 내의 상이한 영역에 적합화되는 것을 가능하게 할 수도 있다. UV 플러드 발색단(들)을 선택함으로써, 상부로부터 저부로 테이퍼지는 ARC 벽을 보장하기 위해 ARC/레지스트 계면 부근에 ARC층(128)을 탈보호하도록 충분한 산이 존재하는 것을 보장하는 것을 돕기 위해 더 높은 농도의 PSCAR 화학물/감광제가 ARC/레지스트 계면으로 구동될 수도 있다. 이는 각형성된 주입물 프로세싱을 위해 후속되는 것에 도움이 될 수도 있고, 테이퍼 레지스트/ARC 측벽은 새도잉 효과를 방지하기 위해 바람직하다. 본 실시예는 또한 개별 ARC CD 제어 노브를 허용할 수도 있는 데, 이는 UV 플러드 선량 선택을 거쳐 ARC 및 포토레지스트의 더 용이한 노광 관용도 정합/제어를 허용해야 한다.
발색단은 ARC층(128) 및/또는 포토레지스트층(110) 내로 재차 반사될 수도 있는 양 또는 광 파장을 최적화하기 위해 특정 파장의 광을 흡수하도록 선택될 수도 있다. 통상적으로, 발색단은 일반적으로 탄소 원소 및 이하의 원소: H, O, N, Bl, Br, S, 또는 I 중 적어도 하나 이상을 포함하는 유기 화합물이다. 발색단 화합물의 최대 흡수 파장은 135 nm 내지 400 nm로 다양할 수도 있다. 하나 이상의 발색단은 포토레지스트층(110)의 하위층(112)에 의해 반사되거나 상위층(overlying layer)(도시 생략)에 의해 흡수될 수도 있는 바람직하지 않은 광(124) 파장을 필터링하도록 선택될 수도 있다. 발색단 화합물은 CH3-CH3, CH4, CH3OH, 또는 CH3-SH를 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 유형 및 농도에 추가하여, ARC층(128) 또는 포토레지스트층(110) 내의 발색단의 위치는 또한 반사율에 영향을 미칠 수도 있다. 반사율은 적어도 부분적으로는 최고 농도의 발색단이 ARC층(128) 또는 포토레지스트층(110) 내에 있는 위치에 기초하여 영향을 받을 수도 있다. 최고 농도는 포토레지스트층(110) 또는 하위층(112) 내의 임의의 위치에 위치될 수도 있다. 일반적으로, 고농도 영역과 저농도 영역 사이의 농도차는 적어도 5 체적, 질량 또는 몰 % 초과일 수도 있다.
필름 또는 필름 스택 내에 더 높은 산 농도를 선택적으로 발생하는 다른 접근법은 필름 스택, 입사광, 및/또는 하위층(112)으로부터의 반사된 광의 특성을 사용하여 필름 스택의 선택된 영역에서 더 높은 레벨의 에너지를 도입하는 것일 수도 있다. 예를 들어, 중첩의 원리 하에서, 필름 스택 내의 입사 및 반사광의 보강 간섭은 적어도 부분적으로, 광 파장, 필름의 굴절률, 및/또는 필름의 두께에 기초하여 필름 스택의 국부화된 영역 내에 더 높은 레벨의 에너지를 발생할 수도 있다. 보강 간섭 영역 내의 광은 더 많은 양의 에너지를 필름 내로 전달할 수도 있는 데, 이는 보강 간섭이 발생하지 않을 수도 있는 필름의 다른 부분에서보다 그 영역 내에서 더 많은 양의 산이 발생되게 할 수도 있다. 다른 접근법은 전술된 접근법의 조합을 사용하는 것일 수도 있다.
일 실시예에서, UV 플러드 파장, 필름 스택 두께, 및 필름 스택 굴절률은 ARC층(128) 또는 포토레지스트층(110) 내에 바람직하게 위치될 입사 및 반사 UV 플러드광의 간섭으로부터 최고 강도를 적합화하면서 감광제 활성화를 얻도록 적합화될 수도 있다. 전술된 변수(예를 들어, 파장 등)는 필름 스택의 계면들 중 적어도 하나에 더 높은 농도의 PSCAR 화학물/감광제를 구동하도록 적합화될 수도 있다. 일 실시예에서, 더 높은 산 농도는 어떠한 유기 잔류물도 ARC/하위층 계면에 잔류하지 않는 것을 보장하기 위해 하위층(112) 부근에 ARC층(128)을 탈보호하도록 충분한 산이 존재하는 것을 보장할 수도 있다. 다른 실시예에서, 전술된 변수는 상부로부터 저부로 테이퍼지는 ARC 벽을 보장하기 위해 ARC/포토레지스트 계면 부근에 ARC층(128)을 탈보호하도록 충분한 산이 존재하는 것을 보장하는 것을 돕기 위해 더 높은 농도의 PSCAR 화학물/감광제가 ARC/포토레지스트 계면으로 구동되도록 적합화될 수도 있다. 이들 실시예는 각형성된 주입물에 중에 사용될 수도 있고, 테이퍼 레지스트 ARC 측벽이 새도잉 효과를 방지하기 위해 바람직하다. 본 실시예는 UV 플러드 선량 선택을 거쳐 더 용이한 노광 관용도 정합/제어를 허용할 수도 있는 개별 ARC CD 제어 노브를 허용할 수도 있다. 본 실시예는 또한 감광제/UV 플러드 여기 및 후속의 ARC PAG 분해 프로세스로부터 ARC층(128) 내의 더 높은 산 농도에 기인하여 잔류하는 유기 잔류물을 제거하는 것을 잠재적으로 도울 수도 있다. 마지막으로, 본 실시예는 새도잉 효과를 방지하기 위해 각형성된 주입물을 위한 프로파일(테이퍼링)을 적합화하는 것을 도울 수 있는 부가의 SWA 제어를 허용할 수도 있다.
본 실시예에서, ARC층(128) 또는 포토레지스트층(110) 굴절률(RI) 선택의 적합화/공동 최적화는 반사율을 제어하고 감광성 ARC층(128) 또는 포토레지스트층(110) 내의 PSCAR 감광제 활성화/농도 조작을 허용하는데 사용될 수도 있다. 이는 포토레지스트층(110) 내의 반사 방지 제어를 여전히 허용할 뿐만 아니라, 또한 ARC층(128) 또는 포토레지스트층(110) 내에 바람직하게 위치되도록 입사 및 반사 UV 플러드광의 간섭으로부터 최고 강도를 적합화하는 것을 허용하는 ARC RI를 선택하는 것을 포함할 수도 있다. 예를 들어, ARC층(128) 굴절률(A)을 선택하는 것은 어떠한 유기 잔류물도 ARC/하위층 계면에 잔류하지 않는 것을 보장하기 위해 하위층(112) 부근에 ARC층(128)을 탈보호하도록 충분한 산이 존재하는 것을 보장하는 것을 돕도록 ARC/하위층 계면에 더 높은 농도의 PSCAR 화학물/감광제를 구동할 수도 있다. 다른 경우에, ARC층(128) 굴절률(B)을 선택하는 것은 상부로부터 저부로 테이퍼지는 ARC 벽을 보장하기 위해 ARC/포토레지스트 계면 부근에 ARC층(128)을 탈보호하도록 충분한 산이 존재하는 것을 보장하는 것을 돕기 위해 더 높은 농도의 PSCAR 화학물/감광제가 포토레지스트/ARC 계면으로 가능하게 할 것이다. 일 실시예에서, 2차 노광(예를 들어, >200 nm)에 대한 굴절률은 필름 스택의 조성 및 특성에 따라 0.95 내지 1.7로 다양할 수도 있다. 다른 실시예에서, 2차 노광(예를 들어, ~193 nm)에 대한 굴절률은 필름 스택의 조성 및 특성에 따라 1.7 초과일 수도 있다.
전술된 기술은 ARC층(128) 또는 포토레지스트층(110) 내의 산 농도를 선택적으로 제어하기 위해 단독으로 또는 조합하여 사용될 수도 있다. 예를 들어, 일 실시예에서, 필름 스택은 필름 스택의 적어도 하나의 계면에 발색단(들)/염료의 혼입 및 필름 스택의 하나 이상의 계면에 상이한 친화도를 갖도록 적어도 하나의 필름 스택의 화학적 조성을 적합화하는 것을 포함할 수도 있다. 이들 기술은 또한 ARC층(128)이 필름 스택 내에 존재할 수도 있고 또는 아닐 수도 있는 포토레지스트층(110) 내로 PS-CAR 화학물을 혼입하는 실시예에 적용될 수도 있다.
도 1c는 도 1a 및 도 1b 실시예에 유사한 필름 스택의 단면도(130)를 도시하고 있지만, 본 실시예는 ARC 층(128) 대신에 포토레지스트층(110) 내로 PS-CAR 화학물을 혼입한다. 단면도는 도 1a 도면과 유사한 패터닝된 노광에 이어지는 필름 스택 플러드후 노광의 조건을 반영한다. 이에 따라, 화학 농도 프로파일[예를 들어, 산(120), PS(122)]이 ARC층(128) 대신에 포토레지스트층(110) 내에 발생된다. ARC 층(128) 내의 산의 위치 및/또는 농도를 제어하기 위한 전술된 기술은 또한 포토레지스트층(110) 내의 산의 위치 및/또는 농도를 제어하도록 적용될 수도 있다.
도 1d는 도 1a 및 도 1b 실시예에 유사한 필름 스택의 단면도(132)를 도시하고 있지만, 본 실시예는 포토레지스트층(110) 내로 PS-CAR 화학물을 혼입하고, 필름 스택으로부터 ARC층(128)을 생략한다. 단면도는 도 1a 도면과 유사한 패터닝된 노광에 이어지는 필름 스택 플러드후 노광의 조건을 반영한다. 이에 따라, 화학 농도 프로파일[예를 들어, 산(120), PS(122)]이 포토레지스트층(110) 내에 발생된다. ARC층(128) 내의 산의 위치 및/또는 농도를 제어하기 위한 전술된 기술은 또한 포토레지스트층(110) 내의 산의 위치 및/또는 농도를 제어하도록 적용될 수도 있다. 포토레지스트층(110)은 기판(104)을 패터닝하는데 사용될 수도 있는 임의의 유형의 감광성 재료를 포함할 수도 있다. 포토레지스트층(110)은 포지티브 색조 포토레지스트, 네거티브 색조 포토레지스트, 도핑된 포토레지스트, 또는 염색된 포토레지스트를 포함할 수도 있지만, 이들로 한정되는 것은 아니다.
도 1a 내지 도 1d에 도시되어 있는 필름 스택 실시예들 사이의 편차는 다양한 조성(예를 들어, PAG) 또는 특성(예를 들어, 두께, RI 등)을 가질 수도 있는 필름 스택을 패터닝하기 위한 상이한 프로세스 조건을 야기할 수도 있다. 용이한 설명을 위해, 포토레지스트층(110) 및 ARC층(128)을 현상하는데 사용된 방법의 설명은 3개의 주요 실시예를 포함한다. 도 1a/도 1b 실시예는 포토레지스트층(110)과 하위층(112) 사이에 배치된 ARC층(128) 내에 산 발생 화합물을 혼입한다. 도 1c 실시예는 ARC층(128) 위에 배치된 포토레지스트층(110) 내에 산 발생 화합물을 혼입한다. 마지막으로, 도 1d 실시예는 ARC층(128)을 포함하지 않는 필름 스택을 위한 포토레지스트층(110) 내로 산 발생 화합물을 혼입한다.
도 2는 필름 스택의 2단계 노광 프로세스[예를 들어, 포토레지스트층(110), ARC층(128) 아님]를 위한 방법의 흐름도(200)의 도면 및 수반하는 단면도(202)를 포함하고 있다. 본 실시예에서, PS-CAR 화학물이 포토레지스트층(110) 내에 혼입될 수도 있다. 포토레지스트층(110)은 기판(104)을 패터닝하는데 사용될 수도 있는 임의의 유형의 감광성 재료를 포함할 수도 있다. 포토레지스트층(110)은 포지티브 색조 포토레지스트, 네거티브 색조 포토레지스트, 도핑된 포토레지스트, 또는 염색된 포토레지스트를 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 2단계 노광 프로세스는 포토레지스트층(110) 내의 PS-CAR 원소로부터 상이한 응답을 가능하게 하는데 사용될 수도 있다. PS-CAR 원소는 포토레지스트층(110)의 감광성 특성을 변경할 수도 있는 산을 발생하는데 사용될 수도 있는 PS-CAR 화학물, 산 발생제, 또는 PAG를 포함할 수도 있다. 흐름도(200) 방법은 포토레지스트층(110)을 증착하고, 패터닝하고, 노광하고, 그리고/또는 현상할 수도 있는 하나 이상의 프로세스 챔버 또는 도구에 의해 수행될 수도 있다.
블록 204에서, 기판(104)은 기판(104) 상에 포토레지스트층(110)을 분배할 수도 있는 코팅 도구에 의해 수용될 수도 있다. 기판(104)은 포토레지스트층(110)을 사용하여 패터닝될 수도 있는 하나 이상의 하위층(112)을 포함할 수도 있다. 하위층(112)의 두께 및 조성은 어떻게 광이 기판(104)의 표면으로부터 반사될 수도 있는지를 제어하도록 최적화될 수도 있고, 일 실시예에서, 하위층(112)의 유형은 하위층(112)의 굴절률 및 두께에 기초하여 선택될 수도 있다. 이들 특징은 어떻게 에너지가 2단계 노광 프로세스 중에 포토레지스트층(110)에 전달될 수도 있는지를 제어하기 위해 포토레지스트층(110)의 특성(예를 들어, 굴절률, 두께 등)과 최적화될 수도 있다.
블록 206에서, 포토레지스트층(110)은 하위층(112) 상에 증착되거나 분배될 수도 있다. 포토레지스트층(110)은 선택 위치에서 그리고 상이한 농도로 산을 발생하기 위해 포토레지스트층(110) 내의 화학 반응의 제어된 시퀀스를 가능하게 할 수도 있는 2개 이상의 광 파장 활성화 임계치를 포함할 수도 있다. 포토레지스트층(110)은 광(114)의 파장 및/또는 선량에 따라 상이한 농도 또는 양의 산을 발생할 수도 있는 PS-CAR 화학물을 포함할 수도 있다. 예를 들어, 제1 범위의 파장 내의 광(114)이 포토레지스트층(110)에 노광될 때, PS-CAR 화학물은 포토레지스트층(110) 내에 제1 양의 산(106)을 발생할 수도 있다. 그러나, PS-CAR 화학물은 부분적으로 분해될 수도 있고, PS-CAR 화학물이 제2 범위의 파장 내의 광에 노광될 때 여전히 부가의 산을 발생하는 것이 가능할 수도 있다.
일 실시예에서, 제1 및 제2 범위의 광(114/124) 파장은 서로 배제적일 수도 있고, 임의의 파장의 중첩을 포함하지 않을 수도 있다. 예를 들어, 제1 범위는 200 nm 미만의 모든 것일 수도 있고, 제2 파장은 200 nm 이상일 수도 있다. 다른 실시예에서, 파장은 제1 범위가 100 nm 미만일 수도 있고 제2 범위가 5 nm 초과의 모든 것일 수도 있도록 중첩할 수도 있다. 일 특정 실시예에서, 제1 범위의 광(114) 파장은 30 nm 미만일 수도 있고(예를 들어, 13.5 nm), 제2 범위의 광(114) 파장은 200 nm 초과일 수도 있다. 다른 특정 실시예에서, 제1 범위의 광(114) 파장은 193 nm 이하일 수도 있고, 제2 범위의 광(114) 파장은 200 nm 초과일 수도 있다. 다른 특정 실시예에서, 제1 범위의 광 파장은 248 nm 이하일 수도 있고, 제2 범위의 광 파장은 200 nm 초과일 수도 있다. 포토레지스트층(110)은 건식 또는 침지 리소그래피 프로세싱 또는 KrF 레이저 리소그래피 프로세싱 또는 EUV 리소그래피 프로세싱을 위해 사용될 수도 있다.
발색단에 추가하여, 반사율은 포토레지스트층(110) 및/또는 하위층(112)의 굴절률 및/또는 두께에 의해 영향을 받을 수도 있다. 굴절률은 매체 내로 전파할 때 얼마나 많은 광(124)이 굴곡하거나 굴절할 것인지의 정량적 표현일 수도 있다. 굴절률은 진공 내의 광의 속도와 매체 내의 광의 속도 사이의 비일 수도 있다. 광(124)의 방향을 변경하는 것은 더 많은 에너지가 포토레지스트층(110) 및/또는 하위층(112) 내로 전달되는 것을 가능하게 할 수도 있다. 예를 들어, 광은 필름 스택의 더 긴 부분을 따라 반사될 수도 있고 또는 하위층(112) 및/또는 기판(104)으로부터 포토레지스트층(110) 내로 재반사될 수도 있다. 입사광 및 반사광은 입사광(124)과 반사광(도시 생략) 사이의 간섭에 기인하여 필름 스택 내에 더 많은 에너지를 발생할 수도 있다. 간섭 위치는 필름 스택 구성요소[예를 들어, 포토레지스트층(110), 하위층(112) 및/또는 기판(104)]의 굴절률 및/또는 두께를 최적화함으로써 필름 스택 내의 원하는 위치에서 발생하도록 최적화될 수도 있다. 일 실시예에서, 포토레지스트층(110)은 포토레지스트층(110) 내의 입사광과 반사광 사이에 더 높은 정도의 보강 간섭을 발생하기 위해 포토레지스트층 두께, 광 파장, 및/또는 하위층의 반사율과 함께 조정될 수도 있는 상부 계면 굴절률을 포함하도록 선택될 수도 있다. 이 경우에, 최대량의 간섭이 포토레지스트층(110)과 하위층(112)의 계면보다 포토레지스트층(110)의 상부면에 더 근접할 것이다. 다른 실시예에서, 포토레지스트층(110)은 포토레지스트층(110) 내의 입사광과 반사광 사이에 더 높은 정도의 보강 간섭을 발생하기 위해 포토레지스트층 두께, 광 파장, 및/또는 하위층의 반사율과 함께 조정될 수도 있는 상부 계면 굴절률을 포함하도록 선택될 수도 있다. 이 경우에, 최대량의 간섭이 포토레지스트층(110)의 상부면보다 포토레지스트층(110)과 하위층(112)의 계면에 더 근접할 것이다.
광범위하게, 포토레지스트층(110)을 위한 굴절률은 0.95 내지 1.75로 다양할 수도 있고, 30 nm 내지 250 nm로 다양할 수도 있는 두께를 가질 수도 있다. 예를 들어, 약 248 nm의 광 파장을 타겟팅하는 포토레지스트층(110)의 굴절률은 1.3 내지 1.75의 굴절률 및 80 nm 내지 250 nm, 더 구체적으로는 100 nm 내지 120 nm의 두께를 가질 수도 있다. 약 193 nm의 광 파장을 타겟팅하는 포토레지스트층(110)은 1.65 내지 1.75의 범위일 수도 있고, 80 nm 내지 250 nm, 더 구체적으로는 100 nm 내지 120 nm의 두께를 가질 수도 있다. 15 nm 미만의 파장을 타겟팅하는 EUV 포토레지스트층(110)은 0.95 내지 1.05의 굴절률 및 30 nm 내지 60 nm의 두께를 가질 수도 있다.
일 실시예에서, 필름 스택 특성은 포토레지스트층(110)과 하위층(112) 사이의 계면에서 입사광(114)과 반사광(도시 생략) 사이에 더 높은 광 간섭을 성취하도록 최적화될 수도 있다. 더 높은 광 간섭은 필름 스택 전반에 걸쳐서 수평 평면 내에서 발생하도록 조정될 수도 있다. 일 실시예에서, 간섭 평면은 포토레지스트층(110)과 하위층(112) 사이의 계면에 있거나 근접할 수도 있다. 그러나, 간섭 평면은 계면에 한정되지 않을 수도 있고, 본 출원에 설명된 기술 또는 당 기술 분야의 숙련자에 의해 이해될 수 있는 것을 사용하여 조정될 수도 있다. 간섭 평면 전반에 걸쳐서 분포된 더 높은 에너지는 포토레지스트층(110)의 다른 영역에서보다 평면 전반에 걸쳐서 더 높은 농도의 산(108)을 발생할 수도 있다. 계면에서 더 높은 농도의 산(106)은 하위층(112)의 표면 상의 유기 물질을 제거하거나 분해하거나 또는 이것이 현상될 때 포토레지스트층(110)의 프로파일 각도 또는 기하학 구조를 적합화하는데 사용될 수도 있다.
다른 실시예에서, 간섭 평면은 포토레지스트층(110)과 하위층(112) 사이의 계면에 대향하는 포토레지스트층(110)의 표면에 위치될 수도 있다. 더 높은 광 간섭은 포토레지스트층(110) 전반에 걸쳐서 더 높은 산 농도를 발생할 수도 있다. 더 높은 산 농도는 현상된 포토레지스트층(110)의 프로파일 각도 또는 기하학 구조를 변경할 수도 있다. 예를 들어, 현상된 포토레지스트층(110) 내의 개구는 포토레지스트층(110)과 하위층(112)의 계면에서보다 개구의 상부에서 더 넓을 수도 있다. 산(106)의 위치 및 농도를 제어하는 것은 포토레지스트층(110) 내의 개구의 기하학 구조 또는 프로파일 각도의 조정을 가능하게 한다. 이들 최적화된 개구 기하학 구조는 라인/공간 패터닝 내의 마이크로-브리징(micro-bridging) 및/또는 접촉 구멍 패터닝의 스커밍(scumming)을 최소화하는데 사용될 수도 있다.
블록 208에서, 2단계 프로세스는 기판(104) 상의 필름 스택 상에 패턴 마스크(116)를 통해 제1 광(114) 파장(들)을 노광하는 것을 포함할 수도 있다. 일 실시예에서, 포토레지스트층(110)은 300 nm 미만인 광의 파장에 노광될 때 적은 양의 산(106)을 발생할 수도 있는 PS-CAR 화학물을 포함할 수도 있다. 산 발생은 포토레지스트층(110)의 노광부에 근접하여 발생할 수도 있다. 패턴 마스크(116)로부터의 광(114)은 포토레지스트층(110)의 노광부보다 낮은 산 농도를 가질 수도 있는 포토레지스트층(110)의 비노광부를 방치할 수도 있다.
다른 실시예에서, 광(124)의 선량 및 파장은 포토레지스트층(110)의 노광부 내의 산 농도량을 조정하도록 변동될 수도 있다. 예를 들어, EUV 실시예에서, 광(114) 파장은 15 nm 미만 또는 대략 13.5 nm일 수도 있다. 이 경우에, 노광 프로세스는 광(114)을 EUV 스펙트럼에 제한할 수도 있어, 경계외 방사선(예를 들어, UV 광, ~>30 nm)이 포토레지스트층(110)에 노광되지 않을 수도 있게 된다. 그러나, 다른 실시예에서, 광(114)은 EUV 실시예보다 더 넓은 범위의 파장을 포함할 수도 있다. 예를 들어, 광(114)은 EUV 및 UV 스펙트럼 내의 파장을 포함할 수도 있지만, 전체 EUV 또는 UV 스펙트럼으로부터의 파장을 포함하지 않을 수도 있다.
일 특정 실시예에서, 광(114)은 최대 200 nm의 EUV 스펙트럼 및 UV 스펙트럼의 부분을 포함할 수도 있지만, 200 nm 초과의 파장을 갖는 광(114)을 포함하지 않을 수도 있다. 예를 들어, 노광 프로세스는 193 nm 이하의 파장을 갖는 광(114)을 포함할 수도 있다. 그러나, 다른 실시예에서, 노광 프로세스는 248 nm 이하의 파장을 갖는 광(114)을 포함할 수도 있고, 13.5 nm 내지 193 nm 파장을 포함할 수도 있다.
다른 특정 실시예에서, 광(114)은 UV 스펙트럼을 포함할 수도 있고, EUV 스펙트럼을 배제할 수도 있다. 따라서, 노광 프로세스는 193 nm 프로세스 또는 248 nm 프로세스를 포함할 수도 있지만, 30 nm 미만의 파장을 갖는 광(114)을 포함하지 않을 수도 있다.
포토레지스트층(110)의 노광 영역에서 발생될 수도 있는 산(106) 및 PS(108)의 초기량은 산(106) 및 PS(108)을 부가의 에너지[예를 들어, 광(124)]에 노광함으로써 더 많은 양으로 증가될 수도 있어 산의 양을 증가시키고 그리고/또는 포토레지스트층(110) 내에서 산을 확산시킨다.
블록 210에서, 포토레지스트층(110)은 제1 노광의 파장과는 상이할 수도 있는 제2 범위의 광(124)의 파장에 노광될 수도 있다. 부가적으로, 제2 노광은 전체 포토레지스트층(110)을 광(124)에 노광하는 블랭킷 노광 또는 플러드 노광일 수도 있다. 그러나, 블랭킷 노광이 요구되지 않을 수도 있고, 패터닝된 노광이 대안으로 행해질 수도 있다.
일 실시예에서, 블랭킷 노광은 포토레지스트층(110)의 상부 또는 표면 부근에 있는 포토레지스트층(110)의 상부 영역에 근접하여 더 높은 농도의 산을 가능하게 하는데 사용될 수도 있다. 광범위하게는, 산 농도는 포토레지스트층(110)과 하위층(112)의 계면에서보다 포토레지스트층(110)의 상부면 부근에서 더 높을 것이다. 블랭킷 노광으로부터의 에너지는 이 산 프로파일을 가능하게 하기 위해 필름 스택의 특성(예를 들어, RI, 두께, 조성)과 함께 사용될 수도 있다. 입사 파장은 포토레지스트층(110)의 상부 영역 또는 부분에 입사광과 반사광 사이에 더 많은 양의 보강 간섭을 발생하도록 최적화될 수도 있다. 블랭킷 노광은 300 nm 내지 400 nm의 파장 및/또는 0.1 J/cm2 내지 4J/cm2의 선량을 포함할 수도 있다. 특정 프로세스 조건은 필름 스택 조성의 견지에서 결정될 수도 있고, 이들 프로세스 조건은 제공된 범위로부터 유도될 수도 있다.
일 실시예에서, 블랭킷 노광은 포토레지스트층(110)과 하위층(112)의 계면에 근접하여 더 높은 농도의 산을 가능하게 하는데 사용될 수도 있다. 광범위하게는, 산 농도는 포토레지스트층(110)의 상부면에서보다 포토레지스트층(110)과 하위층(112)의 계면 부근에서 더 높을 것이다. 블랭킷 노광으로부터의 에너지는 이 산 프로파일을 가능하게 하기 위해 필름 스택의 특성(예를 들어, RI, 두께, 조성)과 함께 사용될 수도 있다. 입사 파장은 포토레지스트층(110)의 상부 영역 또는 부분에 입사광과 반사광 사이에 더 많은 양의 보강 간섭을 발생하도록 최적화될 수도 있다. 블랭킷 노광은 300 nm 내지 400 nm의 파장 및/또는 0.1 J/cm2 내지 4J/cm2의 선량을 포함할 수도 있다. 특정 프로세스 조건은 필름 스택 조성의 견지에서 결정될 수도 있고, 이들 프로세스 조건은 제공된 범위로부터 유도될 수도 있다.
일 실시예에서, 제2 노광은 제1 노광이 300 nm 미만의 파장을 가질 때, 300 nm 초과의 파장을 갖는 광을 포함할 수도 있다. 더 구체적으로, 제1 노광이 EUV 스펙트럼 내의 파장을 가질 때이다. 일 특정 실시예에서, 제2 노광 파장은 제1 노광이 약 248 nm, 193 nm, 또는 13.5 nm 이하인 파장을 가질 때 약 365 nm일 수도 있다. 일 특정 실시예에서, 광(124)의 파장은 약 385 nm일 수도 있다.
다른 실시예에서, 포토레지스트층(110) 또는 하위층(112)의 조성은 반사율에 영향을 미치도록 변경될 수도 있다. 예를 들어, 포토레지스트층(110) 또는 하위층(112)은 광(124)을 흡수하거나 또는 특정 광(124) 광 파장을 흡수하고 다른 광(124) 파장을 반사함으로써 반사율을 제한할 수도 있는 발색단(도시 생략)으로 도핑될 수도 있다. 이 방식으로, 발색단은 포토레지스트층(110) 내로 재반사될 수도 있는 양 또는 광 파장을 최적화하기 위해 광의 특정 파장을 흡수하도록 선택될 수도 있다. 통상적으로, 발색단은 일반적으로 탄소 원소 및 이하의 원소: H, O, N, Bl, Br, S, 또는 I 중 적어도 하나 이상을 포함하는 유기 화합물이다. 발색단 화합물의 최대 흡수 파장은 250 nm 내지 400 nm로 다양할 수도 있다. 하나 이상의 발색단은 하위층(112)에 의해 반사되거나 포토레지스트층(110)의 상위층(도시 생략)에 의해 흡수될 수도 있는 바람직하지 않은 광(124) 파장을 필터링하도록 선택될 수도 있다. 발색단 화합물은 CH3-CH3, CH4, CH3OH, 또는 CH3-SH를 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 유형 및 농도에 추가하여, ARC층(128) 또는 포토레지스트층(110) 내의 발색단의 위치는 또한 반사율에 영향을 미칠 수도 있다. 반사율은 적어도 부분적으로는 최고 농도의 발색단이 ARC층(128) 또는 포토레지스트층(110) 내에 있는 위치에 기초하여 영향을 받을 수도 있다. 최고 농도는 포토레지스트층(110) 또는 하위층(112) 내의 임의의 위치에 위치될 수도 있다. 일반적으로, 고농도 영역과 저농도 영역 사이의 농도차는 적어도 5 체적, 질량, 또는 몰 % 초과일 수도 있다.
일 실시예에서, 발색단은 하위층(112) 또는 포토레지스트층(110)의 나머지 부분보다 포토레지스트층(110)과 하위층(112)의 계면에서 더 높은 농도를 포함한다. 다른 실시예에서, 발색단은 포토레지스트층(110)과 하위층(112)의 계면에 대향하는 포토레지스트층(110)의 표면에 근접하여 더 높은 농도를 포함한다. 포토레지스트층(110)은 하위층(112)보다 더 높은 농도의 발색단을 가질 수도 있다. 이 경우에, 포토레지스트층(110)의 표면 또는 상부 부분은 이 필름 스택 실시예의 최고 발색단 농도를 가질 수도 있다. 광(124)은 포토레지스트층(110)의 상부면에 의해 반사되거나 흡수될 수도 있는 데, 이는 산(106) 또는 PS(108)를 발생하는데 사용될 수도 있는 에너지의 양[예를 들어, 광(124)]을 최소화할 수도 있다.
블록 212에서, 포토레지스트층(110)은 2단계 노광 프로세스에 의해 가용성이 되었던 포토레지스트층(110)의 부분(214)을 제거하기 위해 화학 처리를 사용하여 현상될 수도 있다. 포토레지스트층(110)은 포지티브 레지스트 또는 네거티브 레지스트를 포함할 수도 있다. 포지티브 레지스트의 노광부는 광에 노광될 때 가용성이 될 수도 있고, 반면에 네거티브 레지스트의 노광부는 불용성이 된다. 2단계 노광 프로세스는 임의의 유형의 레지스트를 비한정적으로 사용할 수도 있다.
2단계 프로세스의 다른 실시예에서, 베이크 단계는 제1 노광(예를 들어, EUV) 후에 그러나 제2 노광(예를 들어, UV 플러드) 전에 또는 제2 노광 자체 중에 합체될 수도 있다. EUV 노광 선량은 그 최소 한계에 접근하기 때문에, 광자/2차 전자 샷 통계 문제점은 EUV 샷 노이즈로서 공지되어 있다. PS(122)는 임의의 변환된 PAG에 매우 근접하여 생성되기 때문에, PS(122)는 대부분 문제점을 복제할 것이다. PS(108) 농도 샷 노이즈 효과는 UV 플러드 여기 전에 또는 제위치에 PS(108) 농도 샷 노이즈 효과의 평활화 없이, PS(108) 농도 샷 노이즈와 같은 특징에 따라 비최적 라인 폭 조도(LWR), LER 및 CER을 유도할 가능성이 있을 것이다. 이 문제점은 특징부 유형에 따라 더 양호한 LWR, LER 및 CER을 유도하고 PS(108) 농도 샷 노이즈를 평활화하기 위해, 10 nm 이하의 정도에서, PS(108) 확산을 유도하기 위해 UV 플러드 단계 전 또는 중에 베이크 단계의 합체에 의해 극복될 수도 있다. 이상적으로, PS(108) 확산을 위한 활성화 에너지는 이것이 산 확산 및 증폭 활성화 에너지보다 훨씬 더 낮도록 설계될 수 있어, 프리-플러드 또는 제위치 플러드 베이크가 효과적으로 단지 PS(108) 확산을 유도할 수 있게 되고, 이러한 것이 해당하지 않는 경우에, 몇몇 레벨의 플러드 베이크 및 전통적인 후노광 베이크[PS(122)가 부가의 PAG를 산으로 변환한 후에] 공동 최적화가 요구될 수도 있다.
일 실시예에서, 베이크 단계는 제1 노광 후에 120초 이하 동안 30℃ 내지 60℃로 기판을 가열하는 것을 포함할 수도 있다. 대안적으로, 가열은 또한 120초 이하 동안 30℃ 내지 90℃에서 행해질 수 있다. 특정 상황에서, 베이크 단계는 특징부 유형에 따라 LWR, LER 및 CER을 향상시키기 위해 제2 노광 후에 행해질 수도 있다. 제2 가열 프로세스는 120초 이하 동안 80℃ 내지 130℃에서 행해질 수 있다.
2단계 프로세스의 다른 실시예는 어떻게 광(124)이 필름 스택 내에 반사될 수도 있는지를 변경하기 위한 부가의 기술을 포함할 수도 있다. 이는 포토레지스트층(110)과 하위층(112) 사이에 중간층을 배치하는 것을 포함할 수도 있다. 중간층(도시 생략)은 노광 중에 반사율을 제어하는데 사용될 수도 있다. 부가적으로, 중간층은 또한 PS-CAR 화학물을 포함하지 않을 수도 있는 포토레지스트층(110) 내에보다 더 높은 농도의 산을 발생하도록 PS-CAR 화학물을 혼입할 수도 있다. 대안적으로, 다른 실시예에서, 새로운 필름 스택은 중간층 내에서보다 포토레지스트층 내에서 더 높은 농도의 산을 발생하도록 설계될 수도 있다. 예를 들어, 포토레지스트층(110)은 중간층 대신에 PS-CAR 화학물을 포함할 수도 있다.
도 3a 및 도 3b는 다른 필름 스택(예를 들어, 포토레지스트층 및 반사 방지층)의 2단계 노광 프로세스를 위한 방법의 흐름도(300)의 도면 및 방법의 일 실시예의 수반하는 단면도(302)를 포함하고 있다. 포토레지스트층(110) 및 하위층(112)을 변경하는 것에 추가하여, 필름 스택 내의 광의 반사율 및 흡수율이 또한 필름 스택의 구성요소를 추가하고 그리고/또는 제거함으로써 변동될 수도 있다. 일 접근법에서, 필름 스택은 포토레지스트층(110)과 하위층(112) 사이에 배치된 ARC층(128)을 포함할 수도 있다. ARC층(128)은 도 2의 실시예에 설명된 바와 같이 포토레지스트층(110) 내의 산 농도에 영향을 미칠 수도 있는 간섭 평면의 위치에 영향을 미칠 수도 있다. 그러나, 다른 실시예에서, PS-CAR 화학물은 포토레지스트층(110) 대신에 ARC층(128) 내에 혼입될 수도 있다. 이 방식으로, 산 농도는 도 2의 실시예에 설명된 것들과 유사한 기술을 사용하여 ARC층(128)을 통해 변동될 수도 있다. 이에 따라, 필름 스택 특성 및 노광 조건은 필름 스택 내의 PS-CAR 화학물의 위치에 따라 다양할 수도 있다.
블록 304에서, 하위층(112) 및 하위층(112) 위에 배치된 ARC층(128) 및 ARC층(128) 위에 배치된 포토레지스트층(110)을 포함하는 기판을 수용한다. 필름 스택은 다양한 노광 조건 및 필름 스택 특성(예를 들어, RI, 두께 등) 하에서 필름 스택 내의 상이한 위치에서 더 높은 산 농도를 발생하기 위해 ARC층(128) 및/또는 포토레지스트층(110) 내로 PS-CAR 화학물을 혼입하도록 구성될 수도 있다.
ARC층(128) 또는 포토레지스트층(100)은 선택 위치에서 그리고 상이한 농도로 산을 발생하기 위해 ARC층(128) 또는 포토레지스트층(100) 내의 화학 반응의 제어된 시퀀스를 가능하게 할 수도 있는 2개 이상의 광 파장 활성화 임계치를 포함할 수도 있다. ARC층(128) 또는 포토레지스트층(100)은 광의 파장 및/또는 선량 및 필름 스택의 특성(예를 들어, RI, 두께, 조성 등)에 따라 상이한 농도 또는 양의 산을 발생할 수도 있는 PS-CAR 화학물을 포함할 수도 있다. ARC층(128), 포토레지스트층(110) 및 하위층(112)은 또한 도 1a 내지 도 1d 및 도 2의 설명에 설명된 바와 같이 반사율 또는 흡수율을 최적화하기 위한 기술 또는 방법을 사용하여 구성될 수도 있다.
블록 306에서, 제1 범위의 광(114) 파장은 패터닝된 마스크(116)를 통해 기판(104)에 노광될 수도 있다. 도 1a, 도 1b 및 도 2의 설명에 설명된 바와 같이, 노광된 영역은 비노광된 영역보다 더 높은 농도의 산(106) 및 PS(108)를 가질 수도 있다. 예를 들어, 제1 범위의 파장 내의 광(114)이 ARC층(128) 및 포토레지스트층(110)에 노광될 때, PS-CAR 화학물은 ARC층(128) 또는 포토레지스트층(110) 내에 제1 양의 산(106)을 발생할 수도 있다. 초기 산(106)은 PS-CAR 화학물이 ARC층(128) 내에 혼입되어 있을 때 ARC층(128) 내에 또는 PS-CAR 화학물이 포토레지스트층(110) 내에 혼입되어 있을 때 포토레지스트층(110) 내에 발생될 수도 있다. 그러나, PS-CAR 화학물은 부분적으로 분해될 수도 있고, PS-CAR 화학물이 제2 범위의 파장 내의 광에 노광될 때 여전히 부가의 산을 발생하는 것이 가능할 수도 있다.
블록 308에서, 기판(104)은 ARC층(128) 또는 포토레지스트층(110) 내에 더 높은 농도의 산(120)을 발생하기 위해 PAG를 분해하고 그리고/또는 PAG를 분해하도록 PS(122)를 여기할 수도 있는 제2 파장의 광(126) 파장(예를 들어, >300 nm)에 노광될 수도 있다. 제2 노광은 전체 ARC층(128) 및 포토레지스트층(110) 전반에 걸쳐서 광(124)을 분포시키는 블랭킷 노광일 수도 있다. 블랭킷 노광의 결과로서, PS(122)를 포함하는 ARC층(128) 또는 포토레지스트층(110)의 부분은 PS(122) 또는 적어도 더 낮은 농도의 PS(122)를 포함하지 않는 ARC층(128) 또는 포토레지스트층(110)의 부분보다 더 높은 산(120) 발생율 또는 농도를 가질 것이다.
PAG 또는 PS(122)에 전달된 에너지의 양은 노광 프로세스 조건(예를 들어, 파장, 선량 등) 및 필름 스택의 특성(예를 들어, RI, 두께, 조성 등)을 변경함으로써 최적화될 수도 있다. 프로세스 조건 및 특성은 전술된 바와 같이, 간섭 평면의 위치를 제어하도록 최적화될 수도 있다. 도 1a 내지 도 1d 및 도 2의 설명에서, 이는 더 많은 양의 에너지가 간섭 평면을 따라 또는 근접하여 ARC층(128) 또는 포토레지스트층(110) 내의 PAG 또는 PS(122)에 전달되는 것을 가능하게 한다.
제1 실시예에서, 노광 프로세스 조건(예를 들어, 파장, 선량 등) 및 필름 스택의 특성은 ARC층(128)과 하위층(112) 사이의 계면에서보다 ARC층(128)과 포토레지스트층(110) 사이의 계면에 근접하여 더 높은 농도의 산(120)을 가능하게 하도록 최적화될 수도 있다. 제2 노광 프로세스 조건은 300 nm 내지 400 nm의 파장 및 0.1 J/cm2 내지 4 J/cm2의 선량을 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 특정 프로세스 조건은 필름 스택 조성의 견지에서 결정될 수도 있고, 이들 프로세스 조건은 제공된 범위로부터 유도될 수도 있다.
제2 실시예에서, 노광 프로세스 조건(예를 들어, 파장, 선량 등) 및 필름 스택의 특성은 ARC층(128)과 포토레지스트층(110) 사이의 계면에서보다 ARC층(128)과 하위층(112) 사이의 계면에 근접하여 더 높은 농도의 산(120)을 가능하게 하도록 최적화될 수도 있다. 제2 노광 프로세스 조건은 300 nm 내지 400 nm의 파장 및 0.1 J/cm2 내지 4 J/cm2의 선량을 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 특정 프로세스 조건은 필름 스택 조성의 견지에서 결정될 수도 있고, 이들 프로세스 조건은 제공된 범위로부터 유도될 수도 있다.
ARC층(128)을 포함하지 않을 수도 있는 제3 실시예에서, 노광 프로세스 조건(예를 들어, 파장, 선량 등) 및 필름 스택의 특성은 포토레지스트층(110)과 하위층(112) 사이의 계면에서보다 포토레지스트층(110)의 상부 영역에 근접하여 더 높은 농도의 산(120)을 가능하게 하도록 최적화될 수도 있다. 제2 노광 프로세스 조건은 300 nm 내지 400 nm의 파장 및 0.1 J/cm2 내지 4 J/cm2의 선량을 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 특정 프로세스 조건은 필름 스택 조성의 견지에서 결정될 수도 있고, 이들 프로세스 조건은 제공된 범위로부터 유도될 수도 있다.
ARC층(128)을 포함하지 않을 수도 있는 제4 실시예에서, 노광 프로세스 조건(예를 들어, 파장, 선량 등) 및 필름 스택의 특성은 포토레지스트층(110)의 상부 영역에서보다 포토레지스트층(110)과 하위층(112) 사이의 계면에 근접하여 더 높은 농도의 산(120)을 가능하게 하도록 최적화될 수도 있다. 제2 노광 프로세스 조건은 300 nm 내지 400 nm의 파장 및 0.1 J/cm2 내지 4 J/cm2의 선량을 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 특정 프로세스 조건은 필름 스택 조성의 견지에서 결정될 수도 있고, 이들 프로세스 조건은 제공된 범위로부터 유도될 수도 있다.
이전의 4개의 실시예들 중 임의의 하나와 함께 사용될 수도 있는 제5 실시예에서, 필름 스택은 ARC층(128) 또는 포토레지스트층(110) 내의 간섭 평면의 위치를 제어하도록 최적화될 수도 있다. 이 경우에, ARC층(128) 또는 포토레지스트층(110)은 ARC층(128)과 하위층(110)의 계면에서보다 ARC층(128)과 포토레지스트층(110)의 계면에 더 근접한 더 높은 광 간섭을 발생하는 상부 계면 굴절률 또는 발색단 화합물을 포함한다. 굴절률은 ARC층(128) 또는 포토레지스트층(110) 내의 간섭 평면의 위치를 조정하도록 도 1a 내지 도 1d 및 도 2의 설명에서 전술된 범위들 사이에서 변할 수도 있다. 본 실시예의 일 변형예는 ARC층(128)이 생략될 때 필름 스택을 포함할 수도 있다. 상부 계면 굴절률 또는 발색단 화합물은 포토레지스트층(110)과 하위층(110)의 계면에서보다 포토레지스트층(110)의 상부 영역에 더 근접한 더 높은 광 간섭을 발생한다.
제1 내지 제4 실시예 중 임의의 하나와 함께 사용될 수도 있는 제6 실시예에서, 필름 스택은 ARC층(128) 또는 포토레지스트층(110) 내의 간섭 평면의 위치를 제어하도록 최적화될 수도 있다. 이 경우에, ARC층(128) 또는 포토레지스트층(110)은 ARC층(128)과 포토레지스트층(110)의 계면에서보다 ARC층(128)과 하위층(112)의 계면에 더 근접한 더 높은 광 간섭을 발생하는 하부 계면 굴절률 또는 발색단 화합물을 포함한다. 굴절률은 ARC층(128) 또는 포토레지스트층(110)의 간섭 평면의 위치를 조정하기 위해 도 1a 내지 도 1d 및 도 2의 설명에서 전술된 범위들 사이에서 변할 수도 있다. 본 실시예의 일 변형예는 ARC층(128)이 생략될 때 필름 스택을 포함할 수도 있다. 하부 계면 굴절률 또는 발색단 화합물은 포토레지스트층(110)의 상부 영역보다 포토레지스트층(110)과 하위층(110)의 계면에 더 근접한 더 높은 광 간섭을 발생한다.
다른 실시예에서, 제2 노광은 포토레지스트층(110) 내의 노광 및 비노광 영역을 발생하기 위해 마스크를 사용할 수도 있는 패터닝된 노광일 수도 있다. 마스크는 제1 노광 중에 사용되었던 동일한 마스크(116)일 수도 있고 또는 아닐 수도 있다. 이 방식으로, 포토레지스트층(110)은 다양한 양의 산을 갖는 3개 이상의 상이한 영역을 포함할 수도 있다. 따라서, 현상된 포토레지스트층(110)은 적어도 2개의 상이한 프로파일 각도 또는 기하학 구조를 갖는 개구를 가질 수도 있다.
블록 310에서, 포토레지스트층(110)은 2단계 노광 프로세스에 의해 가용성이 되었던 포토레지스트층(110)의 부분(214)을 제거하기 위해 화학 처리를 사용하여 현상될 수도 있다. 포토레지스트층(110)은 포지티브 레지스트 또는 네거티브 레지스트를 포함할 수도 있다. 포지티브 레지스트의 노광부는 광에 노광될 때 가용성이 될 수도 있고, 반면에 네거티브 레지스트의 노광부는 불용성이 된다. 2단계 노광 프로세스는 임의의 유형의 레지스트를 비한정적으로 사용할 수도 있다.
2단계 프로세스의 다른 실시예에서, 베이크 단계는 제1 노광(예를 들어, EUV) 후에 그러나 제2 노광(예를 들어, UV 플러드) 전에 또는 제2 노광 자체 중에 합체될 수도 있다. EUV 노광 선량은 그 최소 한계에 접근하기 때문에, 광자/2차 전자 샷 통계 문제점은 EUV 샷 노이즈로서 공지되어 있다. PS(122)는 임의의 변환된 PAG에 매우 근접하여 생성되고, PS(122)는 문제점을 대부분 복제할 것이다. PS(108) 농도 샷 노이즈의 평활화 없는 PS(108) 농도 샷 노이즈 효과는 UV 플러드 여기 전에 또는 제위치에 실행되고, PS(108) 농도 샷 노이즈는 특징부 유형에 따라 비최적 라인 폭 조도(line width roughness: LWR), LER 및 CER을 유도할 가능성이 있을 것이다. 이 문제점은 특징부 유형에 따라 더 양호한 LWR, LER 및 CER을 유도하고 PS(108) 농도 샷 노이즈를 평활화하기 위해, 10 nm 이하의 정도에서, PS(108) 확산을 유도하기 위해 UV 플러드 단계 전 또는 중에 베이크 단계의 합체에 의해 극복될 수도 있다. 이상적으로, PS(108) 확산을 위한 활성화 에너지는 산 확산 및 증폭 활성화 에너지보다 훨씬 더 낮도록 설계될 수 있어, 프리-플러드(pre-flood) 또는 제위치(in-situ) 플러드 베이크가 효과적으로 단지 PS(108) 확산을 유도하게 될 수 있다. 이러한 것이 해당하지 않는 경우에, 몇몇 레벨의 플러드 베이크 및 전통적인 후노광 베이크[PS(122)가 부가의 PAG를 산으로 변환한 후에] 공동 최적화가 요구될 수도 있다.
일 실시예에서, 베이크 단계는 제1 노광 후에 120초 이하 동안 30℃ 내지 60℃로 기판을 가열하는 것을 포함할 수도 있다. 대안적으로, 가열은 또한 120초 이하 동안 30℃ 내지 90℃에서 행해질 수 있다. 특정 상황에서, 베이크 단계는 특징부 유형에 따라 LWR, LER 및 CER을 향상시키기 위해 제2 노광 후에 행해질 수도 있다. 제2 가열 프로세스는 120초 이하 동안 80℃ 내지 130℃일 수도 있다.
상기 설명은 단지 본 발명의 예시일 뿐이라는 것이 이해되어야 한다. 다양한 대안 및 수정이 본 발명으로부터 벗어나지 않고 당 기술 분야의 숙련자들에 의해 안출될 수 있다. 이에 따라, 본 발명은 첨부된 청구범위의 범주 내에 있는 모든 이러한 대안, 수정 및 변형을 포함하도록 의도된다.

Claims (20)

  1. 기판의 처리 방법으로서,
    기판의 표면 상의 하위층, 상기 하위층 상의 반사 방지 코팅(anti-reflective coating: ARC)층 및 상기 ARC층 상의 포토레지스트층을 포함하는 기판을 수용하는 단계로서, 상기 ARC층은,
    상기 ARC층 중의 산의 발생을 제1 산 농도로 제어하는 제1 광 파장 활성화 임계치; 및
    상기 ARC층 중의 산의 발생을 제2 산 농도로 제어하는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장 활성화 임계치는 상기 제1 광 파장 활성화 임계치와는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 기판을 수용하는 단계;
    상기 기판 상에 제1 파장의 광을 노광하는 단계로서, 제1 노광은 상기 기판의 패터닝된 노광이고, 상기 제1 노광은 상기 ARC층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
    상기 기판 상에 제2 파장의 광을 노광하는 단계로서, 제2 노광은 상기 기판의 블랭킷 노광(blanket exposure)이고, 상기 제2 노광은 상기 ARC층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
    상기 ARC층에서 상기 패터닝된 노광과 정렬된 하나 이상의 개구를 발생하도록 반사 방지 코팅층을 현상하는 것을 포함하고,
    제2 파장의 광의 노광은 상기 ARC층과 상기 하위층 사이의 계면에서보다 상기 ARC층과 상기 포토레지스트층 사이의 계면에 근접하여 더 높은 농도의 산을 가능하게 하는 상부 계면 파장 및 상부 계면 선량(dose)을 포함하는 기판 처리 방법.
  2. 제1항에 있어서, 상기 상부 계면 파장은 300 nm 내지 400 nm의 크기를 포함하고, 상기 상부 계면 선량은 0.1 J/cm2 내지 4J/cm2의 크기를 포함하는 것인 기판 처리 방법.
  3. 기판의 처리 방법으로서,
    기판의 표면 상의 하위층, 상기 하위층 상의 반사 방지 코팅(anti-reflective coating: ARC)층 및 상기 ARC층 상의 포토레지스트층을 포함하는 기판을 수용하는 단계로서, 상기 ARC층은,
    상기 ARC층 중의 산의 발생을 제1 산 농도로 제어하는 제1 광 파장 활성화 임계치; 및
    상기 ARC층 중의 산의 발생을 제2 산 농도로 제어하는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장 활성화 임계치는 상기 제1 광 파장 활성화 임계치와는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 기판을 수용하는 단계;
    상기 기판 상에 제1 파장의 광을 노광하는 단계로서, 제1 노광은 상기 기판의 패터닝된 노광이고, 상기 제1 노광은 상기 ARC층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
    상기 기판 상에 제2 파장의 광을 노광하는 단계로서, 제2 노광은 상기 기판의 블랭킷 노광(blanket exposure)이고, 상기 제2 노광은 상기 ARC층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
    상기 ARC층에서 상기 패터닝된 노광과 정렬된 하나 이상의 개구를 발생하도록 반사 방지 코팅층을 현상하는 것을 포함하고,
    상기 제2 파장의 광의 노광은 상기 ARC층과 상기 포토레지스트층 사이의 계면에서보다 상기 ARC층과 상기 하위층 사이의 계면에 근접하여 더 높은 농도의 산을 가능하게 하는 하부 계면 파장 및 하부 계면 선량을 포함하는 것인 기판 처리 방법.
  4. 제3항에 있어서, 상기 하부 계면 파장은 300 nm 내지 400 nm의 크기를 포함하고, 상기 하부 계면 선량은 0.1 J/cm2 내지 4J/cm2의 크기를 포함하는 것인 기판 처리 방법.
  5. 기판의 처리 방법으로서,
    기판의 표면 상의 하위층, 상기 하위층 상의 반사 방지 코팅(anti-reflective coating: ARC)층 및 상기 ARC층 상의 포토레지스트층을 포함하는 기판을 수용하는 단계로서, 상기 ARC층은,
    상기 ARC층 중의 산의 발생을 제1 산 농도로 제어하는 제1 광 파장 활성화 임계치; 및
    상기 ARC층 중의 산의 발생을 제2 산 농도로 제어하는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장 활성화 임계치는 상기 제1 광 파장 활성화 임계치와는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 기판을 수용하는 단계;
    상기 기판 상에 제1 파장의 광을 노광하는 단계로서, 제1 노광은 상기 기판의 패터닝된 노광이고, 상기 제1 노광은 상기 ARC층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
    상기 기판 상에 제2 파장의 광을 노광하는 단계로서, 제2 노광은 상기 기판의 블랭킷 노광(blanket exposure)이고, 상기 제2 노광은 상기 ARC층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
    상기 ARC층에서 상기 패터닝된 노광과 정렬된 하나 이상의 개구를 발생하도록 반사 방지 코팅층을 현상하는 것을 포함하고,
    상기 ARC층은 상기 ARC층과 상기 하위층의 계면에서보다 상기 ARC층과 상기 포토레지스트층의 계면에 더 근접한 더 높은 광 간섭을 발생하는 상부 계면 굴절률 또는 발색단 화합물을 포함하는 것인 기판 처리 방법.
  6. 기판의 처리 방법으로서,
    기판의 표면 상의 하위층, 상기 하위층 상의 반사 방지 코팅(anti-reflective coating: ARC)층 및 상기 ARC층 상의 포토레지스트층을 포함하는 기판을 수용하는 단계로서, 상기 ARC층은,
    상기 ARC층 중의 산의 발생을 제1 산 농도로 제어하는 제1 광 파장 활성화 임계치; 및
    상기 ARC층 중의 산의 발생을 제2 산 농도로 제어하는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장 활성화 임계치는 상기 제1 광 파장 활성화 임계치와는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 기판을 수용하는 단계;
    상기 기판 상에 제1 파장의 광을 노광하는 단계로서, 제1 노광은 상기 기판의 패터닝된 노광이고, 상기 제1 노광은 상기 ARC층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
    상기 기판 상에 제2 파장의 광을 노광하는 단계로서, 제2 노광은 상기 기판의 블랭킷 노광(blanket exposure)이고, 상기 제2 노광은 상기 ARC층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
    상기 ARC층에서 상기 패터닝된 노광과 정렬된 하나 이상의 개구를 발생하도록 반사 방지 코팅층을 현상하는 것을 포함하고,
    상기 ARC층은 상기 ARC층과 상기 포토레지스트층의 계면에서보다 상기 ARC층과 상기 하위층의 계면에 더 근접한 더 높은 광 간섭을 발생하는 하부 계면 굴절률 또는 발색단 화합물을 포함하는 것인 기판 처리 방법.
  7. 기판의 처리 방법으로서,
    기판의 표면 상의 하위층, 상기 하위층 상의 반사 방지 코팅(anti-reflective coating: ARC)층 및 상기 ARC층 상의 포토레지스트층을 포함하는 기판을 수용하는 단계로서, 상기 ARC층은,
    상기 ARC층 중의 산의 발생을 제1 산 농도로 제어하는 제1 광 파장 활성화 임계치; 및
    상기 ARC층 중의 산의 발생을 제2 산 농도로 제어하는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장 활성화 임계치는 상기 제1 광 파장 활성화 임계치와는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 기판을 수용하는 단계;
    상기 기판 상에 제1 파장의 광을 노광하는 단계로서, 제1 노광은 상기 기판의 패터닝된 노광이고, 상기 제1 노광은 상기 ARC층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
    상기 기판 상에 제2 파장의 광을 노광하는 단계로서, 제2 노광은 상기 기판의 블랭킷 노광(blanket exposure)이고, 상기 제2 노광은 상기 ARC층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
    상기 ARC층에서 상기 패터닝된 노광과 정렬된 하나 이상의 개구를 발생하도록 반사 방지 코팅층을 현상하는 것을 포함하고,
    상기 ARC층은,
    감광제 발생 화합물로서,
    아세토페논;
    트리페닐렌;
    벤조페논;
    플루오레논;
    안트라퀴논;
    페난트렌; 및
    이들의 유도체를 포함하는 것인 감광제 발생 화합물; 및
    광산 발생 화합물로서,
    트리페닐설포늄 트리플레이트, 트리페닐설포늄 노나플레이트, 트리페닐설포늄 퍼플루오로옥틸설포네이트, 트리아릴설포늄 트리플레이트, 트리아릴설포늄 노나플레이트, 트리아릴설포늄 퍼플루오로옥틸설포네이트, 트리페닐설포늄염, 트리아릴설포늄염, 트리아릴설포늄 헥사플루오로안티모네이트염, N-하이드록시나프탈이미드 트리플레이트, 1,1-비스[p-클로로페닐]-2,2,2-트리클로로에탄(DDT), 1,1-비스[p-메톡시페닐]-2,2,2-트리클로로에탄, 1,2,5,6,9,10-헥사브로모사이클로도데칸, 1,10-디브로모데칸, 1,1-비스[p-클로로페닐]2,2-디클로로에탄, 4,4-디클로로-2-(트리클로로메틸)벤지드롤, 1,1-비스(클로로페닐)2-2,2-트리클로로에탄올, 헥사클로로디메틸설폰, 2-클로로-6-(트리클로로메틸)피리딘, 또는 이들의 유도체 중 적어도 하나를 포함하는 것인 광산 발생 화합물
    을 포함하는 것인 기판 처리 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 포토레지스트층은,
    상기 포토레지스트층 중의 산의 발생을 제3 산 농도로 제어하는 제3 광 파장 활성화 임계치; 및
    상기 포토레지스트층 중의 산의 발생을 제4 산 농도로 제어하는 제4 광 파장 활성화 임계치
    를 포함하며,
    상기 제4 광 파장 활성화 임계치는 상기 제3 광 파장 활성화 임계치와 상이한 것인 기판 처리 방법.
  9. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 ARC층은 벤질 메타크릴레이트 또는 메발론 락톤 메타크릴레이트를 포함하는 것인 기판 처리 방법.
  10. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 ARC층은 30 nm 내지 120 nm의 두께를 포함하는 것인 기판 처리 방법.
  11. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 포토레지스트층은 30 nm 내지 60 nm 또는 80 nm 내지 250 nm의 두께를 포함하는 것인 기판 처리 방법.
  12. 기판의 처리 방법으로서,
    기판 상에 하위층을 포함하는 기판을 수용하는 단계;
    상기 하위층 상에 포토레지스트층을 증착하는 단계로서, 상기 포토레지스트는,
    상기 포토레지스트층 중의 산의 발생을 제1 산 농도로 제어하는 제1 광 파장 활성화 임계치; 및
    상기 포토레지스트층 중의 산의 발생을 제2 산 농도로 제어하는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장 활성화 임계치는 상기 제1 광 파장 활성화 임계치와는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 포토레지스트층을 증착하는 단계;
    상기 기판 상에 패터닝된 마스크를 통해 제1 파장의 광을 노광하는 단계로서, 제1 노광은 포토레지스트층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
    상기 기판에 제2 파장의 광을 노광하는 단계로서, 제2 파장의 광은 상기 제1 파장의 광과는 상이한 파장을 포함하고, 제2 노광은 상기 포토레지스트층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
    상기 패터닝된 마스크와 정렬된 상기 포토레지스트층 내에 하나 이상의 개구를 발생시키도록 상기 포토레지스트층을 현상하는 단계를 포함하고,
    상기 제2 파장의 광의 노광은, 상기 포토레지스트층과 상기 하위층 사이의 계면에서보다 상기 포토레지스트층의 상부 영역에 근접하여 더 높은 농도의 산을 가능하게 하는 상부 계면 파장 및 상부 계면 선량을 포함하는 기판 처리 방법.
  13. 제12항에 있어서, 상기 상부 계면 파장은 300 nm 내지 400 nm의 크기를 포함하고, 상기 상부 계면 선량은 0.1 J/cm2 내지 4J/cm2의 크기를 포함하는 것인 기판 처리 방법.
  14. 기판의 처리 방법으로서,
    기판 상에 하위층을 포함하는 기판을 수용하는 단계;
    상기 하위층 상에 포토레지스트층을 증착하는 단계로서, 상기 포토레지스트는,
    상기 포토레지스트층 중의 산의 발생을 제1 산 농도로 제어하는 제1 광 파장 활성화 임계치; 및
    상기 포토레지스트층 중의 산의 발생을 제2 산 농도로 제어하는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장 활성화 임계치는 상기 제1 광 파장 활성화 임계치와는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 포토레지스트층을 증착하는 단계;
    상기 기판 상에 패터닝된 마스크를 통해 제1 파장의 광을 노광하는 단계로서, 제1 노광은 포토레지스트층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
    상기 기판에 제2 파장의 광을 노광하는 단계로서, 제2 파장의 광은 상기 제1 파장의 광과는 상이한 파장을 포함하고, 제2 노광은 상기 포토레지스트층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
    상기 패터닝된 마스크와 정렬된 상기 포토레지스트층 내에 하나 이상의 개구를 발생시키도록 상기 포토레지스트층을 현상하는 단계를 포함하고,
    상기 제2 파장의 광의 노광은, 상기 포토레지스트층의 상부 영역에서보다 상기 포토레지스트층과 상기 하위층 사이의 계면에 근접하여 더 높은 농도의 산을 가능하게 하는 하부 계면 파장 및 하부 계면 선량을 포함하는 것인 기판 처리 방법.
  15. 제14항에 있어서, 상기 하부 계면 파장은 300 nm 내지 400 nm의 크기를 포함하고, 상기 하부 계면 선량은 0.1 J/cm2 내지 4J/cm2의 크기를 포함하는 것인 기판 처리 방법.
  16. 기판의 처리 방법으로서,
    기판 상에 하위층을 포함하는 기판을 수용하는 단계;
    상기 하위층 상에 포토레지스트층을 증착하는 단계로서, 상기 포토레지스트는,
    상기 포토레지스트층 중의 산의 발생을 제1 산 농도로 제어하는 제1 광 파장 활성화 임계치; 및
    상기 포토레지스트층 중의 산의 발생을 제2 산 농도로 제어하는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장 활성화 임계치는 상기 제1 광 파장 활성화 임계치와는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 포토레지스트층을 증착하는 단계;
    상기 기판 상에 패터닝된 마스크를 통해 제1 파장의 광을 노광하는 단계로서, 제1 노광은 포토레지스트층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
    상기 기판에 제2 파장의 광을 노광하는 단계로서, 제2 파장의 광은 상기 제1 파장의 광과는 상이한 파장을 포함하고, 제2 노광은 상기 포토레지스트층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
    상기 패터닝된 마스크와 정렬된 상기 포토레지스트층 내에 하나 이상의 개구를 발생시키도록 상기 포토레지스트층을 현상하는 단계를 포함하고,
    상기 포토레지스트층은 상기 포토레지스트층의 상부 영역에서보다 상기 하위층과 상기 포토레지스트층의 계면에 더 근접한 더 높은 광 간섭을 발생하는 상부 계면 굴절률 또는 발색단 화합물을 포함하는 것인 기판 처리 방법.
  17. 기판의 처리 방법으로서,
    기판 상에 하위층을 포함하는 기판을 수용하는 단계;
    상기 하위층 상에 포토레지스트층을 증착하는 단계로서, 상기 포토레지스트는,
    상기 포토레지스트층 중의 산의 발생을 제1 산 농도로 제어하는 제1 광 파장 활성화 임계치; 및
    상기 포토레지스트층 중의 산의 발생을 제2 산 농도로 제어하는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장 활성화 임계치는 상기 제1 광 파장 활성화 임계치와는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 포토레지스트층을 증착하는 단계;
    상기 기판 상에 패터닝된 마스크를 통해 제1 파장의 광을 노광하는 단계로서, 제1 노광은 포토레지스트층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
    상기 기판에 제2 파장의 광을 노광하는 단계로서, 제2 파장의 광은 상기 제1 파장의 광과는 상이한 파장을 포함하고, 제2 노광은 상기 포토레지스트층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
    상기 패터닝된 마스크와 정렬된 상기 포토레지스트층 내에 하나 이상의 개구를 발생시키도록 상기 포토레지스트층을 현상하는 단계를 포함하고,
    상기 포토레지스트층은 상기 하위층과 상기 포토레지스트층의 계면에서보다 상기 포토레지스트층의 상부 영역에 더 근접한 더 높은 광 간섭을 발생하는 하부 계면 굴절률 또는 발색단 화합물을 포함하는 것인 기판 처리 방법.
  18. 삭제
  19. 삭제
  20. 삭제
KR1020167026319A 2014-02-25 2015-02-24 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술 KR102402422B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461944394P 2014-02-25 2014-02-25
US61/944,394 2014-02-25
PCT/US2015/017353 WO2015130695A1 (en) 2014-02-25 2015-02-24 Chemical Amplification Methods and Techniques for Developable Bottom Anti-reflective Coatings and Dyed Implant Resists

Publications (2)

Publication Number Publication Date
KR20160127069A KR20160127069A (ko) 2016-11-02
KR102402422B1 true KR102402422B1 (ko) 2022-05-25

Family

ID=53882086

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167026319A KR102402422B1 (ko) 2014-02-25 2015-02-24 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술

Country Status (6)

Country Link
US (2) US10020195B2 (ko)
JP (2) JP6895600B2 (ko)
KR (1) KR102402422B1 (ko)
DE (1) DE112015000546T5 (ko)
TW (1) TWI553417B (ko)
WO (1) WO2015130695A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015127459A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
WO2015178464A1 (ja) * 2014-05-21 2015-11-26 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置およびレジスト材料
JP6809843B2 (ja) * 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10386723B2 (en) * 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
CN109313394B (zh) * 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
JP6750155B2 (ja) 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御
US11112698B2 (en) * 2016-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with gradient composition for improved uniformity
WO2019060570A1 (en) 2017-09-22 2019-03-28 Tokyo Electron Limited METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10910466B2 (en) * 2018-10-22 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Process for tuning via profile in dielectric material
WO2021034567A1 (en) * 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175259A (ja) * 2003-12-12 2005-06-30 Semiconductor Leading Edge Technologies Inc パターン形成方法、マスクおよび露光装置
JP2012511743A (ja) * 2008-12-10 2012-05-24 ダウ コーニング コーポレーション 切り替え可能な反射防止膜

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2541624C2 (de) * 1975-09-18 1982-09-16 Ibm Deutschland Gmbh, 7000 Stuttgart Wässrige Ätzlösung und Verfahren zum Ätzen von Polymerfilmen oder Folien auf Polyimidbasis
US4308337A (en) * 1980-03-10 1981-12-29 Rca Corporation Uniform light exposure of positive photoresist for replicating spiral groove in plastic substrate
US4402571A (en) * 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) * 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
DE3576088D1 (de) * 1985-05-29 1990-03-29 Ibm Deutschland Verfahren zur reparatur von transmissionsmasken.
US4931380A (en) * 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0740543B2 (ja) * 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH04205936A (ja) * 1990-11-30 1992-07-28 Hitachi Ltd 転写用成形媒体およびその製造方法
JP3081655B2 (ja) * 1991-03-12 2000-08-28 株式会社東芝 レジストパターンの形成方法
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
JPH06275484A (ja) * 1993-03-23 1994-09-30 Hitachi Ltd パターン形成方法
JPH08181049A (ja) * 1994-12-21 1996-07-12 Fujitsu Ltd パターン形成方法
JPH1083947A (ja) * 1996-09-09 1998-03-31 Hitachi Ltd レジストパターン形成方法およびその装置
US5905019A (en) * 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11237737A (ja) * 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6040118A (en) * 1998-10-30 2000-03-21 Advanced Micro Devices, Inc. Critical dimension equalization across the field by second blanket exposure at low dose over bleachable resist
JP3253604B2 (ja) * 1998-11-13 2002-02-04 セイコーエプソン株式会社 半導体装置の製造方法
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
US6420101B1 (en) * 2000-06-21 2002-07-16 Infineon Technologies A G Method of reducing post-development defects in and around openings formed in photoresist by use of non-patterned exposure
US20020072488A1 (en) * 2000-12-12 2002-06-13 Merkulov Gennady V. Isolated human transporter proteins, nucleic acid molecules encoding human transporter proteins, and uses thereof
US6555479B1 (en) * 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
JP3901997B2 (ja) * 2001-11-27 2007-04-04 富士通株式会社 レジスト材料、レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US6699641B1 (en) * 2001-12-12 2004-03-02 Advanced Micro Devices, Inc. Photosensitive bottom anti-reflective coating
US7070914B2 (en) * 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP4410977B2 (ja) * 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) * 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP4545426B2 (ja) * 2003-12-12 2010-09-15 ルネサスエレクトロニクス株式会社 パターン形成方法
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
US20060269879A1 (en) * 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
JP2007334036A (ja) * 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
JP5610176B2 (ja) * 2006-09-15 2014-10-22 三菱レイヨン株式会社 重合体、その製造方法、レジスト組成物及びその応用
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US7648918B2 (en) * 2007-08-20 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pattern formation in semiconductor fabrication
US8088548B2 (en) * 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
KR101585992B1 (ko) * 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US8236476B2 (en) * 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
WO2010068337A1 (en) * 2008-12-10 2010-06-17 Dow Corning Corporation Wet-etchable antireflective coatings
CN102395925B (zh) * 2009-02-19 2015-06-03 布鲁尔科技公司 可溶于显影剂的酸敏性底部减反射涂料
US8124326B2 (en) * 2009-03-03 2012-02-28 Micron Technology, Inc. Methods of patterning positive photoresist
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
JP5796495B2 (ja) * 2010-01-14 2015-10-21 三菱瓦斯化学株式会社 ビシクロヘキサン誘導体化合物及びその製造方法
US8124319B2 (en) * 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
EP2729844B1 (en) 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
CN103034048B (zh) 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
CN103309164A (zh) 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) * 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP2014143415A (ja) * 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
WO2014129556A1 (ja) * 2013-02-20 2014-08-28 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料
CN106030417B (zh) * 2014-02-21 2020-02-28 东京毅力科创株式会社 光增感化学放大型抗蚀剂材料及使用了其的图案形成方法、以及半导体器件、光刻用掩模和纳米压印用模板的制造方法
JP6524388B2 (ja) * 2014-02-24 2019-06-05 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
WO2015127353A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist
WO2015127459A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
WO2016025210A1 (en) * 2014-08-13 2016-02-18 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
WO2019060570A1 (en) * 2017-09-22 2019-03-28 Tokyo Electron Limited METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175259A (ja) * 2003-12-12 2005-06-30 Semiconductor Leading Edge Technologies Inc パターン形成方法、マスクおよび露光装置
JP2012511743A (ja) * 2008-12-10 2012-05-24 ダウ コーニング コーポレーション 切り替え可能な反射防止膜

Also Published As

Publication number Publication date
JP2017507372A (ja) 2017-03-16
WO2015130695A1 (en) 2015-09-03
TW201541194A (zh) 2015-11-01
US20150241782A1 (en) 2015-08-27
DE112015000546T5 (de) 2016-11-10
JP6895600B2 (ja) 2021-06-30
US20180315596A1 (en) 2018-11-01
KR20160127069A (ko) 2016-11-02
JP2019215562A (ja) 2019-12-19
US10020195B2 (en) 2018-07-10
JP7074990B2 (ja) 2022-05-25
TWI553417B (zh) 2016-10-11

Similar Documents

Publication Publication Date Title
KR102402422B1 (ko) 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술
JP7009568B2 (ja) 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
CN103649830B (zh) 光刻图案化过程和其中使用的抗蚀剂
KR102475021B1 (ko) 감광 화학물질 또는 감광 화학 증폭형 레지스트의 사용에 의한 임계 치수 제어
KR101845188B1 (ko) 광 민감형 화학적 증폭 레지스트 내에 산 산탄 잡음으로 복제되는 euv 산탄 잡음의 완화
JP5705103B2 (ja) パターン形成方法
JP2003316019A (ja) パターン形成方法および半導体装置の製造方法
WO2016025210A1 (en) Critical dimension control in photo-sensitized chemically-amplified resist
KR20190007032A (ko) 광 작용제의 사용에 의한 임계 치수 제어
US8551689B2 (en) Methods of manufacturing semiconductor devices using photolithography
US11163236B2 (en) Method and process for stochastic driven detectivity healing
KR20040079756A (ko) 반도체소자의 미세패턴 형성방법
JPH06275484A (ja) パターン形成方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant