TWI425109B - 化學氣相沉積裝置 - Google Patents

化學氣相沉積裝置 Download PDF

Info

Publication number
TWI425109B
TWI425109B TW100128953A TW100128953A TWI425109B TW I425109 B TWI425109 B TW I425109B TW 100128953 A TW100128953 A TW 100128953A TW 100128953 A TW100128953 A TW 100128953A TW I425109 B TWI425109 B TW I425109B
Authority
TW
Taiwan
Prior art keywords
gas diffusion
gas
showerhead
coupling member
head
Prior art date
Application number
TW100128953A
Other languages
English (en)
Other versions
TW201211299A (en
Inventor
Yun-Sung Huh
Seung-Il Park
Original Assignee
Dms Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dms Co Ltd filed Critical Dms Co Ltd
Publication of TW201211299A publication Critical patent/TW201211299A/zh
Application granted granted Critical
Publication of TWI425109B publication Critical patent/TWI425109B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

化學氣相沉積裝置
本發明係關於一種化學氣相沉積裝置。
在物體上形成薄膜的方法通常可以被分成:物理氣相沉積(PVD)方法,其中利用物理碰撞例如濺鍍來形成薄膜;和化學氣相沉積(CVD)方法,其中利用化學反應來形成薄膜。然而,因為PVD方法具有的組成或厚度均勻性以及臺階覆蓋率不如CVD方法的好,所以CVD方法更常用。CVD方法包括APCVD(大氣壓CVD)方法、LPCVD(低壓CVD)方法、和PECVD(等離子體增強CVD)方法等。
在CVD方法中,PECVD方法由於其低溫沉積和快速形成薄膜的能力近來被廣泛採用。PECVD方法是指向注入到反應室中的反應氣體施加RF功率以使反應氣體成為等離子體狀態,並使等離子體中的自由基沉積在晶片或玻璃襯底上的方法。
不管採用哪種方法,薄膜的均勻沉積是薄膜沉積技術的最關鍵,從而為此提議了大量的改進思路。對於薄膜的均勻沉積來說,反應氣體或等離子體的均勻分布起著很重要的作用。
PECVD裝置是薄膜沉積技術中不可缺少的裝備,PECVD裝置的規模由於需要的產量規模的增大而逐漸增大。例如,在近來用來製造平面螢幕顯示裝置的技術中使用的PECVD裝置超大,一邊的尺寸很容易超過2米,因此為了獲得期望品質的薄膜,需要將它的具體功能配置得更精確。為了使用於製造大表面薄膜的PECVD裝置內薄膜的厚度均勻,本發明提出了用於改進噴射氣體的功能並且使由氣體噴射表面的熱膨脹所引起的彎曲現象最小化的概念。
圖1表示常見PECVD裝置的簡要結構,下面參照圖1描述了使用PECVD裝置的技術。
首先,一旦通過機械手(未表示)將襯底3安全地接收在安裝在反應室1內的基座2的上表面上之後,用於薄膜技術的氣體就通過氣體入口管7進入位於噴頭4上方的緩衝空間5中,並在上述緩衝空間5中擴散。擴散到緩衝空間5中的氣體通過噴頭4的噴嘴4a均勻地噴射到襯底3上,並且通過經等離子體電極6提供的RF(射頻)功率將噴射的氣體轉換成等離子體8的狀態。等離子體8的狀態下的反應氣體沉積到襯底3上,並且通過真空泵(未表示)經出口管9排放在完成薄膜沉積技術之後剩餘的任何反應氣體。
然而,如圖2所示,PECVD裝置中的噴頭4由於其自身的重量和熱變形而具有在中部下陷的問題。熱變形是由於來自高溫等離子體和安裝在基座2中的加熱器(未表示)的熱傳遞引起的熱膨脹而導致的,並且熱膨脹在水準方向比在垂直(厚度)方向上大。
當噴頭4的中部下陷從而彎曲時,噴頭4與基座2之間的距離在中部比在週邊區域要近,使得噴射氣體的分布密度不均勻並且使製品均勻性變差。
本發明旨在提供一種化學氣相沉積裝置,該化學氣相沉積裝置能夠使製程氣體平穩地流動並且能夠使噴頭的熱膨脹變形最小化。
根據本發明的一個方面,提供一種化學氣相沉積裝置,可包括:處理室,被配置為用來界定反應空間;背板,放置於上述反應空間上方,並且在該背板的中部具有氣體入口;氣體擴散構件,設置在該氣體入口的下方並且與該氣體入口分離,該氣體擴散構件被配置為用來擴散通過該氣體入口提供的製程氣體,並且該氣體擴散元件通過第一耦合構件與該背板耦合;噴頭,放置於上述背板和氣體擴散構件的下方並且與上述背板和氣體擴散構件分離,在該噴頭中通過打孔形成有多個噴孔,該噴頭的中部通過第二耦合構件與該氣體擴散構件耦合;以及基座,設置在該噴頭的下方並且與該噴頭分離,該基座用於支撐襯底。
較佳為上述第一耦合構件和第二耦合構件的至少其中之一可以是螺釘。
較佳為該背板的下端部具有形成在其中的膨脹腔,該氣體擴散構件的一部分或全部可放置在該膨脹腔內部,該膨脹腔具有比該氣體入口大的橫截面面積。
較佳為該處理室可具有六面體形狀,該氣體擴散構件可包括盤形的支撐板和形成在該支撐板的上表面上的四角錐,該四角錐的每一個側面可面對該處理室的角。該第一耦合構件可放置於線性路徑上,該線性路徑從該四角錐的中心穿過該四角錐的角。
較佳為該氣體擴散構件可包括矩形板形狀的支撐板和形成在該支撐板的上表面上的錐體,該支撐板的每一個側邊可面對該處理室的角。該第一耦合構件可放置於線性路徑上,該線性路徑從該錐體的中心穿過該支撐板的角。可在該基座內部安裝熱絲,該噴頭可由鋁製成。
較佳為該裝置還可包括夾緊構件,該夾緊構件通過第三耦合構件與該背板耦合以支撐該噴頭的邊緣,其中在該噴頭的側面與該夾緊構件之間可形成預定間隙。該夾緊構件可包括用於支撐該噴頭的下表面的水準部分和用於支撐該噴頭的側面的垂直部分,並且該噴頭的邊緣的下部可形成有用於與該夾緊構件的水準部分嚙合的溝槽。
較佳為該裝置還可包括插在該夾緊構件和該背板之間的熱阻構件,該熱阻構件的一側可與該背板的下表面接觸,並且該熱阻構件的另一側可與該噴頭的上表面接觸。該熱阻構件可以是薄金屬板。
較佳為該噴頭可具有在其邊緣形成的橢圓形長孔,並且第四耦合構件可通過穿透該夾緊構件的水準部分而***到該長孔中。
較佳為在該噴頭具有矩形板形狀的情況下,上述夾緊構件、橢圓形長孔和第四耦合構件可設置在該噴頭的每一個側面上。此外,上述長孔和第四耦合構件可成對地設置在該噴頭的每一個側面上。
採用本發明的較佳的具體實施例,可以最小化噴頭的熱膨脹變形,從而可以獲得具有優良品質的均勻大面積薄膜。
由於本發明可以有多種改變和具體實施例,所以將參照圖式闡述和描述多個具體實施例。然而這決不是要將本發明限制於特定的具體實施例,而是應該理解為本發明包括由本發明的概念和範圍所涵蓋的所有的改變、等效物和替代物。在對本發明的整個描述中,當確定對某種技術的描述會回避本發明的要點時,將省略相關的詳細描述。
術語諸如“第一”和“第二”可以用來描述不同的元件,但是上述元件不應限於上述術語。上述術語僅用來將一個元件與其他元件區別開來。
說明書中使用的術語僅用來描述具體實施例,而決不是用來限制本發明。除非清楚地使用,單數形式的表述包括多數形式的意思。在本說明書中,諸如“包括”或“包含”的描述意在指定特性、數量、步驟、操作、元件、部件或其組合,而不應解釋為排除一個或多個其他特性、數量、步驟、操作、元件、部件或其組合的任何存在或可能性。
下面將參照圖式詳細地描述根據本發明的化學氣相沉積裝置的具體的較佳實施例。在整個圖式中,相同或相應的元件將賦予相同的參考標號,對相同或相應元件的任何多餘描述將會省略。
圖3是表示根據本發明具體實施例的PECVD裝置的剖視圖。圖4是圖3中用“A”標記的部分的放大圖,圖5是圖3中用“B”標記的部分的放大圖。圖3到5中表示了處理室100、反應空間150、背板200、氣體入口210、第一耦合構件250、氣體擴散構件300、噴頭400、噴孔410、第二耦合構件450、長孔460、基座500、夾緊構件600、第三耦合構件650、第四耦合構件670、熱阻構件700和襯底800。
如圖3所示,根據本發明具體實施例的CVD裝置包括:處理室100,界定反應空間150;背板200,放置於反應空間150上方,且在其中部具有氣體入口210;氣體擴散構件300,設置在氣體入口210下方並與氣體入口210分離,被配置為用於擴散通過氣體入口流入的製程氣體;噴頭400,放置於背板200和氣體擴散構件300下方並與背板200和氣體擴散構件300分離,且在其中通過打孔形成多個噴孔410;和基座500,設置在噴頭400下方並與噴頭400分離,且支撐襯底800。
氣體擴散構件300通過第一耦合構件250與背板200耦合,噴頭400的中部通過第二耦合構件450與氣體擴散構件300耦合。換句話說,噴頭400的中部經由氣體擴散構件300與背板200耦合。根據具有這種結構的本具體實施例,可以解決噴頭400的中部由於熱膨脹而下陷的問題。
更具體地說,如圖4所示,在氣體擴散構件300與背板200分離預定距離的同時,氣體擴散構件300通過第一耦合構件250(諸如穿透氣體擴散構件300的邊緣的螺釘)與背板200耦合。而且,在氣體擴散構件300與噴頭400分離預定距離的同時,氣體擴散構件300通過諸如螺釘的第二耦合構件450與噴頭400耦合。這裏,可以通過穿透噴頭400將第二耦合構件的端部***到氣體擴散構件的中部。
雖然本具體實施例提出了將螺釘用於第一耦合構件和第二耦合構件,但是本發明不限於本具體實施例中所提出的方案,只要氣體擴散構件可以在與背板200和噴頭400分離的同時被緊固,就可以使用任何構件(例如銷)。
處理室100界定處於真空狀態的反應空間150。處理室100主要劃分為上蓋120和室主體110,將密封構件(未表示)諸如O形圈插在其間以將處理室100內的反應空間150相對於外部密封。
背板200位於反應空間150的上側,更具體地說,位於上蓋120所界定的空間中。背板200可以由金屬諸如鋁製成,並且用於注入製程氣體的氣體入口210設置在背板200的中部。氣體入口210可以是穿透背板200的孔或***在該孔中的管。由外部氣體源(未表示)提供的製程氣體可以通過氣體入口210注入到背板200下方。
用於擴散所提供的製程氣體的氣體擴散構件300位於背板200下方,更具體地,位於設置在背板200中的氣體入口210下方,如圖4所示。如上述,在氣體擴散構件300通過第一耦合構件250與背板200分離的同時,氣體擴散構件300被固定。
氣體擴散構件300用於在處理室100內部,更具體地說,在背板200和噴頭400之間的空間200(下文稱為“緩衝空間”)中,有效地擴散注入的製程氣體。為此,注入的製程氣體具有層流(laminar flow)很重要。下文將描述氣體擴散空間300的具體形狀和功能。
如圖4所述,具有比氣體入口210大的橫截面面積的膨脹腔230可以形成在背板200的下端部,一部分或全部的氣體擴散構件300可以放置於膨脹腔230內部。這裏,膨脹腔230和氣體擴散構件300可以具有類似的形狀。
噴頭400以與背板200和氣體擴散構件300分離的方式設置在背板200和氣體擴散構件300的下方。噴頭400是用於擴散注入的製程氣體並且均勻地將製程氣體噴射到襯底的整個表面上(其中上述襯底放置在基座500上)的裝置,並可以具有與處理室100的橫截面形狀相似的形狀。例如,如果處理室100具有六面體形狀並且從而具有矩形的橫截面形狀,噴頭400就可以具有矩形板的形狀。噴頭400還可以具有在由金屬諸如鋁製成的板形主體中均勻打孔形成的噴孔410。這裏,噴孔410可以具有錐體的形狀,其橫截面面積朝著其下側逐漸變大。
由於上述結構,注入的製程氣體首先通過形成在背板200下方的氣體擴散構件300擴散,然後通過噴頭400二次擴散,從而可被均勻噴射到襯底800(其中襯底800容置在基座500的上表面上)的上表面上。
這裏,RF源900與背板200和噴頭400連接,並提供用以激勵噴射的製程氣體所需要的能量,以將通過噴頭400噴射的製程氣體轉換成等離子體。換句話說,背板200和噴頭400可以用作上電極。
由於處理室100,更具體地,上蓋120起到接地的作用,如圖5所示,絕緣體160、170、180插在用作上電極的背板和噴頭與上蓋120之間,保持它們之間的電絕緣。這裏,O形圈190設置於絕緣體160的預定位置處,以便於維持反應空間150的真空狀態。
在晶體矽太陽能電池的製造技術中,主要使用矽氮化物(SiNx)膜作為抗反射膜;為了形成這種抗反射膜,可以通過注入SiH4 和NH3 作為製程氣體來執行上述製程。
如圖5所示,可以通過夾緊構件600支撐噴頭400的邊緣,其中夾緊構件600包括用於支撐噴頭400的下表面的水準部分610和用於支撐噴頭400的側表面的垂直部分620。這裏,噴頭400的下部可以形成有用於與上述夾緊構件的下部(即水準部分610)嚙合的溝槽430。
夾緊構件600,例如夾緊構件600的垂直部分620可以通過第三耦合構件650與背板200耦合,並且夾緊構件600的水準部分610可以通過與噴頭400的下表面嚙合而支撐噴頭400的下表面。
可以在噴頭400的側面和夾緊構件600之間形成預定間隙420。這個間隙420是考慮到噴頭400的熱膨脹而形成的。
在噴頭400的邊緣中形成橢圓形的長孔460,並且第四耦合構件670可以通過穿透夾緊構件600的水準部分而***到長孔460中。第四耦合構件670是用於通過將夾緊構件600與噴頭400耦合來支撐噴頭400的邊緣的裝置。應該理解的是,各種耦合裝置,諸如螺釘、銷等都可以用於第四耦合構件670。
如圖6所示,噴頭400具有形成在其中的多個長孔460。通過形成這些長孔460,儘管噴頭400有熱膨脹,也可以避免由於第四耦合構件670的存在而使過大的應力施加在噴頭400上。這是因為長孔460中的額外空間可以用作考慮到噴頭400的熱膨脹而預留的空間。
如果噴頭400為矩形板的形狀,夾緊構件600、橢圓形長孔460和第四耦合構件670可以設置在噴頭400的每一個側面上。換句話說,通過在噴頭400的每一個側面上形成夾緊構件600和第四耦合構件670,可以更牢固地支撐噴頭400的邊緣。
如圖6所示,長孔460和第四耦合構件670成對地設置在噴頭400的每一個側面上,從而能夠更牢固地支撐噴頭。
而且,可以在夾緊構件600和背板200之間***熱阻構件700。如圖5所示,熱阻構件700的一側與背板200的下表面接觸,熱阻構件700的另一側與噴頭400的上表面接觸,從而使熱阻構件700可以在噴頭400和背板200之間的熱傳遞中起到阻力的作用。由於熱阻構件700所起的作用,可以減少從噴頭400傳遞到背板200上的熱。由諸如鋁的材料製成的薄金屬板可以用於熱阻構件700。熱阻構件700的厚度可以在約1.5mm到3.0mm之間。
基座500(在基座500上容置襯底800)以與噴頭400分離的方式放置於噴頭400下方。可以在基座500內部放置加熱器510(例如熱絲),在這種情況下,在基座500上容置的襯底800可以被加熱到適於在薄膜沉積技術期間沉積的溫度(例如400℃)。而且,基座500電接地,從而可以用作下電極,並且可以通過用於裝載和卸載襯底800的單獨的升降裝置520來提升或降低基座500。
可以在處理室100的下方,更具體地說,在基座500下方,設置排氣出口130,使得剩餘在處理室100內部的製程氣體可以在沉積反應完成之後排放到外部。
下面將更詳細地描述前面描述的氣體擴散構件300的形狀和功能。圖7表示通過氣體入口210流進處理室(更具體地說是緩衝空間220)中的製程氣體如何在常規的PECVD裝置中擴散。其中,箭頭表示擴散的製程氣體。
為了在襯底800上形成均勻的薄膜,在整個襯底800上均勻地提供製程氣體很重要。為此,需要使通過氣體入口210提供到噴頭400的上側的製程氣體在整個噴頭400中均勻分布。然而,如圖7所示,根據常規的技術,具有六面體形狀的處理室100的角區域102位於距處於處理室100中部的氣體入口210較遠的距離處,這限制了製程氣體在整個處理室100中均勻分布。
考慮到上述事實,本發明具體實施例採用氣體擴散構件300A,氣體擴散構件300A包括矩形板形狀的支撐板310A和形成在支撐板310A的上表面上(較佳為上表面的中部)的錐體320A。這裏,將支撐板310A的每一個側邊312A設置為面對處理室100的每一個角102。
如圖9所示,通過使用這種氣體擴散構件300A,經氣體入口210提供的製程氣體沿錐體320A的側表面在所有方向上下降,然後沿支撐板310A的上表面移動。由於支撐板310A的上表面起到抵抗製程氣體移動的阻力的作用,製程氣體可以在離中心相對較近(即,具有較小的阻力)的支撐板310A的側邊312A的方向上平滑地流動,並且製程氣體不會在離中心相對較遠(即,具有較大的阻力)的支撐板310A的角314A的方向上平滑地流動。
這裏,如圖9所示,通過在從錐體320A的中心穿過支撐板310A的角314A的線性路徑上設置第一耦合構件250,第一耦合構件250起到抵抗製程氣體移動的阻力的作用,這能夠使製程氣體在支撐板310A的側邊312A的方向上更加平滑地流動。這樣,通過改變氣體擴散構件的形狀,使製程氣體在相對較遠的處理室100的角102的方向上更平滑地流動,可以補償用於處理室100的角102的製程氣體,由此提高了處理室100中製程氣體的整體均勻性。
在另一個具體實施例中,如圖10所示,可以使用包括盤形的支撐板310B和在支撐板310B的上表面上形成的四角錐320B的氣體擴散構件300B。這裏,四角錐320B的每一個側面322B面對處理室100的每一個角102。
在本具體實施例中,四角錐320B的角區域324B起到阻礙製程氣體流動的阻力的作用,從而如圖11所示,通過使四角錐320B的側面322B(在上述側面322B上製程氣體相對更加平滑地流動)面對處理室100的角102,可以補償用於處理室100的角102的製程氣體。
這裏,如圖11所示,通過在從四角錐320B的中心穿過四角錐320B的角324B的線性路徑上放置第一耦合構件250,第一耦合構件250起到抵抗製程氣體移動的阻力的作用,由此能夠使製程氣體在處理室100的角102的方向上更加平滑地流動。
雖然描述了本發明的具體的較佳實施例,但是應該理解,在不偏離本發明的技術概念和範圍的情況下,本發明所屬領域的技術人員可以對本發明進行各種修改和變形。
還應該理解的是,在本發明的申請專利範圍中可以存在除了上述具體實施例之外的其他具體實施例。
100...處理室
110...室主體
120...上蓋
130...排氣出口
150...反應空間
160、170...絕緣體
200...背板
210...氣體入口
220...緩衝空間
230...膨脹腔
250...第一耦合構件
300A、300B...氣體擴散構件
400...噴頭
410...噴孔
450...第二耦合構件
460...長孔
500...基座
510...加熱器
520...升降裝置
600...夾緊構件
610...水準部分
620...垂直部分
650...第三耦合構件
670...第四耦合構件
700...熱阻構件
800...襯底
900...RF源
圖1表示根據常規技術的PECVD裝置。
圖2表示根據常規技術的噴頭的彎曲現象。
圖3是表示根據本發明具體實施例的化學氣相沉積裝置的剖視圖。
圖4是圖3中用“A”標記的部分的放大圖。
圖5是圖3中用“B”標記的部分的放大圖。
圖6是噴頭的頂視圖,在上述噴頭中形成有多個長孔。
圖7表示通過氣體入口流進真空容器中的製程氣體如何在根據常規技術的PECVD裝置中擴散。
圖8是表示根據本發明具體實施例的氣體擴散構件的立體圖。
圖9表示通過氣體入口流入真空容器中的製程氣體如何在應用了圖8的氣體擴散構件的PECVD裝置中擴散。
圖10是根據本發明另一個具體實施例的氣體擴散構件的立體圖。
圖11表示通過氣體入口流入真空容器中的製程氣體如何在應用了圖10的氣體擴散構件的PECVD裝置中擴散。
100...處理室
110...室主體
130...排氣出口
120...上蓋
150...反應空間
160、170...絕緣體
200...背板
210...氣體入口
220...緩衝空間
300...氣體擴散構件
400...噴頭
500...基座
510...加熱器
520...升降裝置
800...襯底
900...RF源

Claims (17)

  1. 一種化學氣相沉積裝置,其特徵為包括:處理室,被配置為用來界定反應空間;背板,放置於上述反應空間上方,並且在該背板的中部具有氣體入口;氣體擴散構件,設置在該氣體入口的下方並且與該氣體入口分離,該氣體擴散構件被配置為用來擴散通過該氣體入口提供的製程氣體,並且該氣體擴散元件通過第一耦合構件與該背板耦合;噴頭,放置於上述背板和氣體擴散構件的下方並且與上述背板和氣體擴散構件分離,在該噴頭中通過打孔形成有多個噴孔,該噴頭的中部通過第二耦合構件與該氣體擴散構件耦合;以及基座,設置在該噴頭的下方並且與該噴頭分離,該基座用於支撐襯底。
  2. 如申請專利範圍第1項之裝置,其中上述第一耦合構件和第二耦合構件的至少其中之一是螺釘。
  3. 如申請專利範圍第1項之裝置,其中該背板的下端部具有形成在其中的膨脹腔,該氣體擴散構件的一部分或全部放置在該膨脹腔內部,該膨脹腔具有比該氣體入口大的橫截面面積。
  4. 如申請專利範圍第1項之裝置,其中該處理室具有六面體形狀,該氣體擴散構件包括盤形的支撐板和形成在該支撐板的上表面上的四角錐,以及該四角錐的每一個側面面對該處理室的角。
  5. 如申請專利範圍第4項之裝置,其中該第一耦合構件放置於線性路徑上,該線性路徑從該四角錐的中心穿過該四角錐的角。
  6. 如申請專利範圍第1項之裝置,其中該處理室具有六面體形狀,該氣體擴散構件包括矩形板形狀的支撐板和形成在該支撐板的上表面上的錐體,以及該支撐板的每一個側邊面對該處理室的角。
  7. 如申請專利範圍第6項之裝置,其中該第一耦合構件放置於線性路徑上,該線性路徑從該錐體的中心穿過該支撐板的角。
  8. 如申請專利範圍第1項之裝置,其中在該基座內部安裝有熱絲。
  9. 如申請專利範圍第1項之裝置,其中該噴頭由鋁製成。
  10. 如申請專利範圍第1項之裝置,還包括夾緊構件,該夾緊構件通過第三耦合構件與該背板耦合以支撐該噴頭的邊緣,其中在該噴頭的側面與該夾緊構件之間形成預定間隙。
  11. 如申請專利範圍第10項之裝置,還包括插在該夾緊構件和該背板之間的熱阻構件,該熱阻構件的一側與該背板的下表面接觸,並且該熱阻構件的另一側與該噴頭的上表面接觸。
  12. 如申請專利範圍第10項之裝置,其中該夾緊構件包括用於支撐該噴頭的下表面的水準部分和用於支撐該噴頭的側面的垂直部分,該噴頭的邊緣的下部形成有用於與該夾緊構件的水準部分嚙合的溝槽。
  13. 如申請專利範圍第12項之裝置,其中該熱阻構件是薄金屬板。
  14. 如申請專利範圍第13項之裝置,其中該熱阻構件由鋁製成。
  15. 如申請專利範圍第12項之裝置,其中該噴頭具有在其邊緣形成的橢圓形長孔,並且該裝置還包括通過穿透該夾緊構件的水準部分而***到該長孔中的第四耦合構件。
  16. 如申請專利範圍第15項之裝置,其中該噴頭具有矩形板形狀,以及上述夾緊構件、橢圓形長孔和第四耦合構件設置在該噴頭的每一個側面上。
  17. 如申請專利範圍第16項之裝置,其中上述長孔和第四耦合構件成對地設置在該噴頭的每一個側面上。
TW100128953A 2010-08-12 2011-08-12 化學氣相沉積裝置 TWI425109B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100077982A KR101249999B1 (ko) 2010-08-12 2010-08-12 화학기상증착 장치

Publications (2)

Publication Number Publication Date
TW201211299A TW201211299A (en) 2012-03-16
TWI425109B true TWI425109B (zh) 2014-02-01

Family

ID=45792648

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100128953A TWI425109B (zh) 2010-08-12 2011-08-12 化學氣相沉積裝置

Country Status (3)

Country Link
KR (1) KR101249999B1 (zh)
CN (1) CN102373440B (zh)
TW (1) TWI425109B (zh)

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101443792B1 (ko) * 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
CN104178747B (zh) * 2013-05-24 2016-08-24 理想晶延半导体设备(上海)有限公司 分体式气体喷淋组件及金属有机化学气相沉积装置
US9441792B2 (en) * 2013-09-30 2016-09-13 Applied Materials, Inc. Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102558925B1 (ko) * 2016-02-15 2023-07-24 삼성디스플레이 주식회사 플라즈마 증착 장치
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107477351A (zh) * 2017-08-10 2017-12-15 安徽亚格盛电子新材料有限公司 一种用于装填固态金属有机源的专用钢瓶
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20210126130A (ko) * 2019-03-08 2021-10-19 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버를 위한 다공성 샤워헤드
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230307228A1 (en) * 2022-03-24 2023-09-28 Applied Materials, Inc. Pixelated showerhead for rf sensitive processes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144614A (ja) * 1996-10-21 1998-05-29 Applied Materials Inc Cvdプラズマリアクタにおける面板サーマルチョーク
TWI316971B (en) * 2005-05-13 2009-11-11 Samsung Sdi Co Ltd Catalyst enhanced chemical vapor deposition apparatus and deposition method using the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
KR100653442B1 (ko) 2005-11-28 2006-12-04 주식회사 유진테크 샤워헤드 지지장치
KR100866912B1 (ko) * 2007-05-31 2008-11-04 주식회사 마이크로텍 화학기상증착장비
KR100997104B1 (ko) * 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144614A (ja) * 1996-10-21 1998-05-29 Applied Materials Inc Cvdプラズマリアクタにおける面板サーマルチョーク
TWI316971B (en) * 2005-05-13 2009-11-11 Samsung Sdi Co Ltd Catalyst enhanced chemical vapor deposition apparatus and deposition method using the same

Also Published As

Publication number Publication date
KR101249999B1 (ko) 2013-04-03
CN102373440A (zh) 2012-03-14
CN102373440B (zh) 2014-07-16
TW201211299A (en) 2012-03-16
KR20120021679A (ko) 2012-03-09

Similar Documents

Publication Publication Date Title
TWI425109B (zh) 化學氣相沉積裝置
KR101306315B1 (ko) 화학기상증착 장치
TWI550123B (zh) 在直線型大面積的電漿反應器均勻處理的氣體輸送和分配系統及其處理腔室
US20060005771A1 (en) Apparatus and method of shaping profiles of large-area PECVD electrodes
TWI525212B (zh) 氣體分配板及包含此氣體分配板之基板處理設備
US20050145170A1 (en) Substrate processing apparatus and cleaning method therefor
TW201042724A (en) Asymmetric grounding of rectangular susceptor
KR101420709B1 (ko) 기판 지지 장치 및 이를 구비하는 기판 처리 장치
KR20080105617A (ko) 화학기상증착장치 및 플라즈마강화 화학기상증착장치
KR101844325B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20080289686A1 (en) Method and apparatus for depositing a silicon layer on a transmitting conductive oxide layer suitable for use in solar cell applications
US20130004681A1 (en) Mini blocker plate with standoff spacers
KR102224586B1 (ko) 처리 챔버들을 위한 코팅 재료
US20080289687A1 (en) Methods for depositing a silicon layer on a laser scribed transmitting conductive oxide layer suitable for use in solar cell applications
KR101118477B1 (ko) 가스 분산판 및 이를 갖는 공정 챔버
KR101351399B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP3716086B2 (ja) プラズマ化学蒸着装置
US20230104088A1 (en) Substrate processing apparatus
KR101127757B1 (ko) 서셉터 접지유닛, 이를 이용하여 서셉터 접지의 가변방법 및 이를 갖는 공정챔버
JP5038769B2 (ja) プラズマ処理装置
KR101253908B1 (ko) 원자층 증착장치의 8분기 샤워헤드 모듈
JP4890313B2 (ja) プラズマcvd装置
CN220012806U (zh) 等离子体增强化学气相沉积设备
JPH0590939U (ja) プラズマcvd装置
KR20090073312A (ko) 상부 전극 조립체 및 이를 포함하는 박막 처리 장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees