TWI383448B - 形成含矽絕緣膜之方法及裝置 - Google Patents

形成含矽絕緣膜之方法及裝置 Download PDF

Info

Publication number
TWI383448B
TWI383448B TW095128308A TW95128308A TWI383448B TW I383448 B TWI383448 B TW I383448B TW 095128308 A TW095128308 A TW 095128308A TW 95128308 A TW95128308 A TW 95128308A TW I383448 B TWI383448 B TW I383448B
Authority
TW
Taiwan
Prior art keywords
gas
valve
supply
opening
region
Prior art date
Application number
TW095128308A
Other languages
English (en)
Other versions
TW200721307A (en
Inventor
Kazuhide Hasebe
Mitsuhiro Okada
Pao Hwa Chou
Jun Ogawa
Chaeho Kim
Kohei Fukushima
Toshiki Takahashi
Jun Sato
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200721307A publication Critical patent/TW200721307A/zh
Application granted granted Critical
Publication of TWI383448B publication Critical patent/TWI383448B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

形成含矽絕緣膜之方法及裝置
本發明係關於一種用以在諸如一半導體處理系統中在一目標基板(例如一半導體晶圓)上形成一含矽絕緣膜之薄膜形成方法及裝置。本文中使用的術語"半導體製程"包括各種製程,其經執行來在一目標基板(例如半導體晶圓或用於液晶顯示器(LCD)或平板顯示器(FPD)的玻璃基板)上藉由在該目標基板上以預定圖案形成半導體層、絕緣層,及導電層製造一半導體器件或具有配線層、電極,及連接到一半導體器件之類似物的結構。
在製造用以組成半導體積體電路之半導體器件中,目標基板(例如半導體晶圓)經受各種製程,諸如薄膜形成、蝕刻、氧化、擴散、再形成、退火,及自然氧化膜移除。日本專利申請KOKAI公開案第2002-60947(專利文獻1)號揭示在(所謂的分批類型)垂直加熱處理裝置中執行的此種半導體處理方法。根據此方法,半導體晶圓首先自晶圓匣轉移到垂直晶舟上,且在垂直方向每隔一段距離被支撐於其上。該晶匣可儲存例如25個晶圓,而該晶舟可支撐30到150個晶圓。然後,該晶舟從下方裝載到製程容器中,且該製程容器被氣密關閉。然後,執行預定的加熱製程,同時控制製程條件,例如,製程氣體流動速率、製程壓力,及製程溫度。
習知二氧化矽薄膜(SiO2 薄膜)主要用作半導體器件的絕緣膜。然而,近幾年,由於半導體積體電路之整合化及小型化的增強之需求,氮化矽薄膜(Si3 N4 薄膜)用於替代二氧化矽薄膜使用。舉例而言,氮化矽薄膜經處理成為抗氧化的薄膜、防止雜質擴散的薄膜,及閘電極結構的側壁薄膜。由於氮化矽薄膜提供低雜質擴散係數及對氧化的良好障壁性質,因此其非常適合用作為上述用途之絕緣膜。
近幾年,由於半導體積體電路之整合化及小型化增強之需求,需要在製造步驟中減輕半導體器件之熱歷程,從而改良此等器件之特性。對於垂直處理裝置,也需要根據上述需求改良半導體處理方法。舉例而言,存在一種CVD製程,其可執行薄膜形成,同時間歇地供應源氣體等,以一層接一層(或數層接數層)重複形成每一層具有原子或分子級別厚度之薄膜層(例如,參見日本專利申請KOKAI公開案第2004-281853號(專利文獻2))。一般言之,此薄膜形成方法被稱作原子層沈積(ALD),其允許執行預定製程,而不使晶圓暴露於極高溫度下。此外,ALD薄膜形成法提供良好的臺階覆蓋率,且因此適合於填補半導體器件之凹進部分,例如閘間空隙,該空隙已隨著此等器件之小型化的增強而變得愈窄。
本發明之目標係提供一種用以形成含矽絕緣膜之薄膜形成方法及裝置,其可抑制粒子產生,而不降低製程產量。
根據本發明之第一態樣,提供用以在製程區域中藉由CVD在目標基板上形成含矽絕緣膜之薄膜形成方法,其中該製程區域組態為選擇性地供應有淨化氣體、包含矽烷族氣體之第一製程氣體,及包含選自由氮化氣體、氮氧化氣體,及氧化氣體組成之群的氣體之第二製程氣體,該方法交替地包含:一第一步驟,其執行供應該第一製程氣體到該製程區域,同時停止供應該第二製程氣體及該淨化氣體到該製程區域;一第二步驟,其執行供應該淨化氣體到該製程區域,同時停止供應該第一及第二製程氣體到該製程區域;一第三步驟,其執行供應該第二製程氣體到該製程區域,同時停止供應該第一製程氣體及該淨化氣體到該製程區域;及一第四步驟,其執行供應該淨化氣體到該製程區域,同時停止供應該第一及第二製程氣體到該製程區域,其中該製程區域在該第一到第四步驟經由具備一開度調節閥之一排氣通路連續進行真空排氣,且在該第一步驟中該閥門之開度設定為在該第二及第四步驟中該閥門之開度之5%到95%。
根據本發明之第二態樣,提供了用以形成含矽絕緣膜之薄膜形成裝置,該裝置包含:一製程容器,其具有組態為容納一目標基板之一製程區域;一支撐構件,其組態為支撐該製程區域內的該目標基板;一加熱器,其組態為加熱該製程區域內的該目標基板;一排氣系統,其組態為經由具備一開度調節閥之一排氣通路排放該製程區域內的氣體;一第一製程氣體供應線路,其組態為供應包含矽烷族氣體之第一製程氣體到該製程區域;一第二製程氣體供應線路,其組態為供應包含選自由氮化氣體、氮氧化氣體,及氧化氣體組成之群的氣體之第二製程氣體到該製程區域;一淨化氣體供應線路,其組態為供應淨化氣體到該製程區域;及一控制部分,其組態為控制該裝置之操作,其中,為了藉由CVD在該目標基板上形成一含矽絕緣膜,該控制部分交替地執行一第一步驟,其執行供應該第一製程氣體到該製程區域,同時停止供應該第二製程氣體及該淨化氣體到該製程區域;一第二步驟,其執行供應該淨化氣體到該製程區域,同時停止供應該第一及第二製程氣體到該製程區域;一第三步驟,其執行供應該第二製程氣體到該製程區域,同時停止供應該第一製程氣體及該淨化氣體到該製程區域;及一第四步驟,其執行供應該淨化氣體到該製程區域,同時停止供應該第一及第二製程氣體到該製程區域,同時在該第一到第四步驟經由該排氣通路對該製程區域連續真空排氣,且設定在該第一步驟中該閥門之開度為在該第二及第四步驟中該閥門之開度之5%到95%。
根據本發明之第三態樣,提供包含用以在一處理器上執行的程式指令之電腦可讀媒體,其用於用以在一製程區域中藉由CVD在一目標基板上形成一含矽絕緣膜之一薄膜形成裝置,其中該製程區域組態為選擇性地供應有淨化氣體、包含矽烷族氣體之第一製程氣體,及包含選自由氮化氣體、氮氧化氣,及氧化氣體組成之群的氣體之第二製程氣體,其中當該等程式指令由該處理器執行時,該等程式指令使該薄膜形成裝置執行一第一步驟,其執行供應該第一製程氣體到該製程區域,同時停止供應該第二製程氣體及該淨化氣體到該製程區域;一第二步驟,其執行供應該淨化氣體到該製程區域,同時停止供應該第一及第二製程氣體到該製程區域;一第三步驟,其執行供應該第二製程氣體到該製程區域,同時停止供應該第一製程氣體及該淨化氣體到該製程區域;及一第四步驟,其執行供應該淨化氣體到該製程區域,同時停止供應該第一及第二製程氣體到該製程區域,同時在該第一到第四步驟經由具備一開度調節閥之一排氣通路對該製程區域連續真空排氣,且設定在該第一步驟中該閥門之開度為在第二及第四步驟中該閥門之開度之5%到95%。
本發明之其他目標及優勢將在下文說明中陳述,且部分目標及優勢將從該說明中顯而易見,或可藉由本發明之實踐而知曉。本發明之目標及優勢可藉由下文中特別指出的手段及組合實現並獲得。
在研發本發明之過程中,此等發明人研究用於半導體製程之習知薄膜形成裝置中產生的問題,如專利文獻2等所揭示。結果,此等發明人已經獲得下文給出的研究結果。
圖14為圖解展示專利文獻2揭示之習知垂直薄膜形成裝置的視圖。圖15為專利文獻2揭示之薄膜形成方法之氣體供應及排氣通路開度的時序圖。
如圖14中所示,此裝置包括一製程容器302,其中複數個半導體晶圓W在晶舟304上每隔一段距離而堆疊。製程容器302連接到分別作為矽烷族氣體及氮化氣體的二氯矽烷(DCS:SiH2 Cl2 )氣體及氨氣(NH3 )之供應線路。此外,製程容器302連接到包括一排氣閥308及一真空泵310(按此次序)之排氣系統306。在處理期間,如圖15中所示,DCS氣體及氨氣可交替地且間歇地供應到該製程容器302中,並在這之間***有淨化週期。在此種情況下,由於DCS氣體具有低蒸氣壓,因此當供應DCS氣體時排氣閥308為全閉。製程容器302內的壓力進而增加以有助於DCS氣體吸附到晶圓表面上(增加吸附量)。
然而,根據上述方法,當排氣閥308為全閉時,容器302內的壓力處於平衡狀態一段時間。此時,反應副產物(例如氯化銨)之微粒沈積在排氣系統之內壁上,且偶爾脫落及反向流動。此等微粒可落在晶圓表面上,且充當產生微粒的核。
排氣閥308可由所謂的組合閥形成,其具有切換閥及壓力調節閥兩種功能。在此種情況下,當排氣閥308為全閉時,反應副產物沈積在密封件上,例如置於組合閥中的O形環。因此,沈積物可損害密封件的密封性能且引起內部漏洩。為解決此問題,密封件可經加熱至比反應副產物之昇華溫度更高的溫度,以防止反應副產物之沈積。然而,此措施需要排氣閥308耐熱,其使閥門結構複雜且因而不實用。
將參考附圖進行說明基於上述給出的研究結果達成之本發明之實施例。
在下文描述中,具有大體上相同功能及配置之組成元件由相同的參考編號表示,且僅當必要時進行重複說明。
圖1為展示根據本發明之一實施例之薄膜形成裝置(垂直CVD裝置)之剖視圖。圖2為展示圖1中所示之裝置之部分的剖面平面圖。薄膜形成裝置2具有一製程區域,其組態為選擇性地供應有包含作為矽烷族氣體的二氯矽烷(DCS)氣體之第一製程氣體、包含作為氮化氣體的氨氣(NH3 )之第二製程氣體,及包含諸如N2 氣體的非反應性氣體之淨化氣體。薄膜形成裝置2組態為在製程區域中藉由CVD在目標基板上形成氮化矽薄膜。此外,如下所述,根據需要,該製程區域可進一步組態為選擇性地供應有包含作為含硼氣體的BCl3 氣體之第三製程氣體及包含作為氫化碳氣體的乙烯(C2 H4 )氣體之第四製程氣體。
裝置2包括具有頂板及敞開底部且形狀為圓柱體的製程容器4,其中製程區域5經界定以容納且處理每隔一段距離堆疊之複數個半導體晶圓(目標基板)。整個製程容器4由(例如)石英製成。製程容器4之頂部具備石英頂板6以氣密密封該頂部。製程容器4之底部經由密封件10(例如O形環)連接到圓柱形歧管8。該製程容器可整體用圓柱形石英體構成,而無單獨形成的歧管8。
圓柱形歧管8由(例如)不銹鋼製成,且支撐製程容器4之底部。由石英製成的一晶舟12經由歧管8之底部埠上下移動,從而將晶舟12裝載到製程容器4中或從製程容器4中卸載。一定數量個目標基板或半導體晶圓W堆疊在一晶舟12上。舉例而言,在此實施例中,晶舟12具有支柱12A,其可在垂直方向上每隔基本上規則的距離支撐(例如)直徑為300毫米的約50到100個晶圓。
晶舟12經由石英製成的絕熱圓筒14放置在臺子16上。臺子16由旋轉軸20支撐,該軸穿過由(例如)不銹鋼製成的蓋子18,且用於打開/關閉歧管8之底部埠。
蓋子18中旋轉軸20穿過之部分具備(例如)磁性流體密封22,從而該旋轉軸20以氣密密封狀態被可旋轉地支撐。一密封件24(例如O形環)***到蓋子18之周邊與歧管8之底部之間,使得製程容器4之內部可保持密封狀態。
旋轉軸20附著在由升降機構25(例如晶舟升降機)支撐的臂26的遠端處。升降機構25將晶舟12及蓋子18整體上下移動。臺子16可固定至蓋子18上,使得可處理晶圓W,而不需要旋轉晶舟12。
一氣體供應部分連接到歧管8之一側,以供應預定製程氣體到製程容器4中的製程區域5。具體言之,氣體供應部分包括一第二製程氣體供應線路28、一第一製程氣體供應線路30,及一淨化氣體供應線路32。第一製程氣體供應線路30經配置以供應包含矽烷族氣體(例如二氯矽烷(DCS)氣體)之第一製程氣體。第二製程氣體供應線路28經配置以供應包含氮化氣體(例如氨氣(NH3 ))之第二製程氣體。淨化氣體供應線路32經配置以供應非反應性氣體,例如N2 氣體作為淨化氣體。根據需要,第一及第二製程氣體之每一者可與適量的運載氣體混合。然後,為了解釋簡單此運載氣體將不再在下文中提及。
更具體言之,第二製程氣體供應線路28、第一製程氣體供應線路30,及淨化氣體供應線路32分別包括配氣噴嘴34、36,及38,每一配氣噴嘴都由石英管形成,該石英管從外面穿過歧管8之側壁且然後轉變方向並向上延伸(見圖2)。配氣噴嘴34、36,及38分別具有複數個噴氣孔34A、36A,及38A,每組噴氣孔在縱向(垂直方向)每隔預定間隔形成於晶舟l2上的所有晶圓W上。噴氣孔34A、36A,及38A在水平方向上幾乎均勻地分別配送第二製程氣體(包含NH3氣體)、第一製程氣體(包含DCS),及淨化氣體(N2 氣體),從而形成與晶舟12上晶圓W相平行之氣流。
噴嘴34、36,及38分別經由氣體供應線(氣體通路)42、44,及46分別連接到NH3 氣體、DCS氣體,及N2 氣體之氣源28S、30S,及32S。氣體供應線42、44,及46分別具備切換閥42A、44A,及46A以及流動速率控制器42B、44B,及46B(例如質量流量控制器)。經此配置,NH3 氣體、DCS氣體,及N2 氣體可按受控流動速率供應。
在製程容器4之側壁處,於垂直方向上形成一氣體激勵部分50。在製程容器4相對於氣體激勵部分50的一側,藉由在(例如)垂直方向上切割製程容器4之側壁,而形成用以真空排放內部氣體之長而薄的排氣埠52。
具體言之,氣體激勵部分50在垂直方向上具有長而薄的開口54,其藉由在垂直方向上切割製程容器4之側壁一預定寬度而形成。開口54由石英蓋56覆蓋,該石英蓋藉由焊接氣密地連接到製程容器4之外表面。蓋56具有垂直方向上長而薄的形狀且具有凹形橫截面,使得其從製程容器4向外突出。
經此配置,形成氣體激勵部分50,使得其從製程容器4之側壁向外突出,且在製程容器之內部的另一側敞開。換言之,氣體激勵部分50之內部空間與製程容器4內的製程區域5相通。開口54具有足夠在垂直方向上覆蓋晶舟12上所有晶圓W之垂直長度。
一對長而薄的電極58置於蓋56之相對外表面上,且互相面對,同時縱向(垂直方向)延伸。電極58經由饋電線62連接到射頻(RF)電源60用於產生電漿。例如13.56 MHz的射頻電壓施加於電極58以形成射頻電場,用以激勵電極58之間的電漿。射頻電壓的頻率不限於13.56 MHz,且其可設定為另一頻率,例如400 kHz。
第二製程氣體之配氣噴嘴34在製程容器4之徑向,在比晶舟12上最低晶圓W還要低的位置上向外彎曲。然後,配氣噴嘴34垂直延伸到氣體激勵部分50中最深的位置(距製程容器4之中心最遠的位置)。亦如圖2中所示,配氣噴嘴34從在該對電極58(在射頻電場最強的位置)之間的夾層區域,意即,在實際產生主電漿的電漿產生區域PS向外隔開。包含NH3 氣的第二製程氣體從配氣噴嘴34之噴氣孔34A朝向電漿產生區域PS噴出。然後,第二製程氣體在電漿產生區域PS中被激勵(分解或活化),且以此狀態供應到晶舟12上的晶圓W上。
由(例如)石英製成的絕緣保護蓋64附著到蓋56之外表面上且將其覆蓋。一冷卻機構(未圖示)置於絕緣保護蓋64中,且包含分別朝向電極58之冷卻劑通路。該等冷卻劑通路供應有冷卻劑(例如冷卻氮氣)來冷卻電極58。絕緣保護蓋64用置於外表面上的護罩(未圖示)覆蓋以防止射頻洩漏。
第一製程氣體及淨化氣體之配氣噴嘴36及38向上延伸且在氣體激勵部分50之開口54的旁邊及外面的位置處,意即在(製程容器4中)開口54之外面的兩側上互相面對。包含DCS氣體的第一製程氣體及由N2 氣體組成的淨化氣體分別從配氣噴嘴36及38之噴氣孔36A及38A噴向製程容器4之中心。
另一方面,相對氣體激勵部分50形成的排氣埠52用排氣埠蓋構件66覆蓋。排氣埠蓋構件66由具有U形橫截面的石英製成,且藉由焊接而附著。排氣蓋構件66沿製程容器4之側壁向上延伸,且在製程容器4頂部處具有出氣口68。出氣口68連接到包括真空泵等的真空排氣系統GE。
製程容器4由一加熱器70環繞,該加熱器用於加熱製程容器4內的空氣及晶圓W。一熱電偶(未圖示)置於製程容器4中的排氣埠52旁邊,以控制加熱器70。
真空排氣系統GE具有連接到出氣口68之排氣通路84,在出氣口68上一閥門單元(一開度調節閥)86、一真空泵88,及用以去除不需要物質的去毒單元89依此次序自上游側安置。閥門單元86由所謂的組合閥構成,其具有切換閥及壓力調節閥兩種功能。換言之,閥門單元86可設定其閥門開度為任意值,包括全開及全閉狀態。圖3及圖4為展示閥門單元86之剖面側視圖及剖面正視圖。
如圖3及圖4中所示,閥門單元86包括置於排氣通路84上的圓柱形閥殼90。具有一閥埠92之一閥座94置於閥殼90內。閥門驅動部分98置於閥座94上游,且包括具有延伸到下游的桿102之致動器100。桿102之遠端連接到閥體104,其經組態位於閥座94上以關閉閥埠92。一可撓性波紋管103置於閥體104與閥門驅動部分98之間,以包圍桿102並保護致動器100。閥體104具備一密封件106(例如O形環),以氣密關閉閥埠92。複數個互連通路96經安置環繞閥門驅動部分98,以經由其排放氣體。
根據閥門單元86,閥體104往復設定閥門開度為任意值,包括全開及全閉狀態。閥體104可加熱至預定溫度(例如約150℃),以防止反應副產物沈積在閥體上。如圖3及圖4中所示之閥門單元86的結構僅為實例且不為限制條件。
薄膜形成裝置2進一步包括由(例如)電腦形成之主控制部分48,以控制整個裝置。主控制部分48可如下文所述根據薄膜形成處理之製程配方(例如,在記憶體中提前儲存的待形成之薄膜厚度及薄膜組合物)控制薄膜形成製程。在記憶體中,製程氣體流動速率與薄膜之厚度及組合物之間的關係提前儲存為控制資料。因此,基於所儲存的製程配方及控制資料,主控制部分48可控制升降機構25、氣體供應線路28、30,及32、排氣系統GE(包括閥門單元86)、氣體激勵部分50、加熱器70等。
下一步,將解釋如圖1中所示之裝置中執行的薄膜形成方法(所謂的原子層沈積(ALD)薄膜形成)。總而言之,此薄膜形成方法經配置以選擇性地將包含作為矽烷族氣體的二氯矽烷(DCS)氣體之第一製程氣體及包含作為氮化氣體的氨氣(NH3 )之第二製程氣體供應給容納晶圓W之製程區域5,以藉由CVD在晶圓W上形成氮化矽薄膜。
首先,支撐約50至100個直徑為300毫米晶圓之晶舟在室溫下被裝載到加熱到預定溫度之製程容器4中。然後,對製程容器4之內部進行真空排氣,且保持在預定製程壓力處,且晶圓溫度增加到薄膜形成之製程溫度。此時,該裝置處於等待狀態,直到溫度變穩定。然後,包含DCS氣體之第一製程氣體、包含NH3 氣體之第二製程氣體,及包含N2 氣之淨化氣體間歇地以受控流動速率從個別配氣噴嘴36、34,及38供應。
具體言之,包含DCS氣體之第一製程氣體自配氣噴嘴36之噴氣孔36A供應,以形成與晶舟12上的晶圓W相平行之氣流。當供應時,DCS氣體之分子及藉由其分解產生的分解產物之分子及原子被吸附到晶圓W上。
另一方面,包含NH3 氣體之第二製程氣體自配氣噴嘴34之噴氣孔34A供應,以形成與晶舟12上的晶圓W相平行之氣流。當第二製程氣體通過該對電極58之間的電漿產生區域PS時,該第二製程氣體被選擇性地激勵且部分轉為電漿。此時,舉例而言,產生自由基(活化物質)(例如N 、NH 、NH2 ,及NH3 )(符號[ ]表示其為自由基)。自由基從氣體激勵部分50之開口54流向製程容器4之中心,且以層狀流狀態供應到晶圓W之間的間隙。
自由基與吸附在晶圓W表面上的DCS氣體之分子反應,從而形成氮化矽薄膜於晶圓W上。或者,當DCS氣體流到吸附在晶圓W表面上的自由基上時,會引起相同的反應,從而形成氮化矽薄膜於晶圓W上。
緊跟在供應包含DCS氣體之第一製程氣體的步驟之後,且緊跟在供應包含NH3 氣體之第二製程氣體的步驟之後,供應由N2 氣組成之淨化氣體到製程區域5中。淨化氣體自配氣噴嘴38之噴氣孔38A供應,以形成與晶舟12上的晶圓相平行之氣流。因此形成之淨化氣體氣流用於強迫移除製程區域5內的殘餘組份,例如DCS氣體及其分解產物或NH3 氣體及其分解產物。
當執行薄膜形成製程時,製程區域5藉由真空排氣系統GE經排氣通路84連續進行真空排氣。在此過程期間,置於排氣通路84上的閥門單元86之開度受到控制,使得在供應第一製程氣體步驟中的開度設定為在供應淨化氣體步驟中的開度之5%到95%。
<第一實施例>
圖5為展示根據本發明之第一實施例之薄膜形成方法之氣體供應及排氣通路開度的時序圖。如圖5中所示,根據此實施例之薄膜形成方法經配置以交替地重複第一到第四步驟T1至T4。重複數次包含第一到第四步驟T1至T4之循環,且將經各循環形成的氮化矽薄膜進行層壓,從而達成具有目標厚度之氮化矽薄膜。
具體言之,第一步驟T1經配置以執行供應第一製程氣體(圖5中指示為DCS)到製程區域5,同時停止供應第二製程氣體(圖5中指示為NH3 )及淨化氣體(圖5中指示為N2 )到製程區域5。第二步驟T2經配置以執行供應淨化氣體到製程區域5,同時停止供應第一及第二製程氣體到製程區域5。第三步驟T3經配置以執行供應第二製程氣體到製程區域5,同時停止供應第一製程氣體及淨化氣體到製程區域5。此外,在第三步驟T3中間,射頻電源60設定為"開"狀態,以將第二製程氣體藉由氣體激勵部分50轉為電漿,從而在子步驟T3b期間將處於活化狀態的第二製程氣體供應到製程區域5。第四步驟T4經配置以執行供應淨化氣體到製程區域5,同時停止供應第一及第二製程氣體到製程區域5。
在第一步驟T1到第四步驟T4之整個過程中,製程區域5藉由真空排氣系統GE經排氣通路84連續進行真空排氣。在第二及第四步驟T2至T4中,排氣通路84之閥門單元86之開度設定為100%(全開)。因此,製程區域5中剩餘的反應性氣體可藉由淨化氣體迅速清除。亦在第三步驟T3中,排氣通路84之閥門單元86之開度設定為100%(全開)。因此,自NH3 衍生之自由基被有效供應到晶圓W上,使得其可迅速與吸附在晶圓W上的DCS氣體分子反應(薄膜形成速率增加)。
另一方面,在第一步驟T1中,閥門單元86之開度設定為5%到20%。考慮晶圓W上DCS吸附之增加與製程區域5內存在的粒子數目之減少之間的平衡而確定此閥門開度。特定言之,DCS氣體具有低蒸氣壓且因此不利於吸附到晶圓表面。為有助於此氣體吸附到晶圓表面上(以增加吸附量),第一步驟較佳經配置以在製程區域5內使用高壓。因此,閥門單元86之開度的降低為增加薄膜形成速率的重要因素,以便取得較高的製程產量。在此態樣中,較佳設定第一步驟中之閥門開度較小。
另一方面,在第一步驟T1中,若閥門單元86之開度設定為0%(全閉),則會產生各種有關粒子的問題(如前所述)。因此,閥門單元86之開度設定為上述範圍內的值,使得朝向排氣系統之氣體流動總是在製程區域5內甚至在第一步驟T1中形成。在此種情況下,例如,若歸因於壓力波動而使得排氣系統之內壁上的沈積物或類似物脫落,則其不能向後流動或落在晶圓W表面上。此外,由於閥門開度未設定為0%(全閉),故應防止反應副產物(例如氯化銨)在閥門單元86之密封件106上沈積。因而,閥門單元86不會因沈積物而造成內部洩漏。在此等態樣中,較佳設定第一步驟中閥門開度較大。
根據上述態樣,第一實施例經配置以將閥門單元86之開度作為參數來控制晶圓W上DCS吸附之增加與製程區域5內存在的粒子數目之減少之間的平衡。具體言之,根據該第一實施例,第一步驟中閥門開度Vd1設定為如上所述第二及第四步驟中閥門開度之5%到20%。若閥門開度Vd1小於5%,則結果為在產生粒子中充當核的粒子及物質排放不足。若閥門開度Vd1大於20%,則第一製程氣體吸附到晶圓表面上之效率變得比可接收範圍小。
在第一步驟T1中,由於閥門單元86之開度設定較小,故製程區域5內的壓力逐漸從最小值(圖5中指示為"低")增加到最大值(圖5中指示為"高")。然而,在第二步驟T2中,由於閥門單元86之開度設定為100%,故壓力逐漸從最大值返回到最小值。
在圖5中,第一步驟T1設定為在約1到120秒的範圍內,例如約5秒。第二步驟T2設定為在約1到30秒的範圍內,例如約5秒。第三步驟T3設定為在約1到120秒的範圍內,例如約10秒。子步驟T3b設定為在約1到120秒的範圍內,例如約8秒。第四步驟T4設定為在約1到30秒的範圍內,例如約5秒。總體言之,經第一到第四步驟T1到T4之一循環獲得的薄膜厚度為約0.05至0.11奈米。因此,舉例而言,當目標薄膜厚度為70奈米時,重複該循環約600次。然而,此等時間及厚度的值僅為實例且因此不為限制條件。
在第三步驟T3中,射頻電源60在經過預定時間△t後開啟,以將第二製程氣體藉由氣體激勵部分50轉為電漿,以便在子步驟T3b期間將處於活化狀態的第二製程氣體供應到製程區域5。預定時間△t定義為用以穩定NH3 氣體之流動速率必需之時間,其設定為(例如)約1秒。然而,第二製程氣體也可在供應第二製程氣體的整個時期內藉由氣體激勵部分50轉為電漿。由於在第二製程氣體之流動速率穩定後開啟射頻電源來產生電漿,故晶圓W中的自由基濃度之均一性(垂直方向上的均一性)得以改良。
薄膜形成製程之製程條件如下。DCS氣體之流動速率設定為在50到2,000 sccm的範圍內,例如1,000 sccm(1 slm)。NH3 氣之流動速率設定為在100到5,000 sccm的範圍內,例如3000 sccm。N2 氣之流動速率設定為300到5,000 sccm之範圍內,例如3,000 sccm。製程溫度低於普通CVD製程,且設定為250到700℃的範圍內,且較佳在350到600℃的範圍內。若製程溫度低於250℃,則基本上沒有薄膜沈積,因為幾乎沒有產生反應。若該製程溫度高於700℃,則沈積低品質CVD薄膜,且熱損壞被應用到現有薄膜上,例如金屬薄膜。
製程壓力經設定使得最小值在0到5托的範圍內(圖5中指示為"低"),且較佳在0到1托的範圍內。最大值(圖5中指示為"高")在0.1到10托的範圍內,且較佳在0.1到0.5托的範圍內。請注意1托=133.3帕。舉例而言,在第一步驟(吸附步驟)T1期間製程溫度設定為1托,且在第三步驟(使用電漿之氮化作用步驟)T3期間製程溫度設定為0.3托。若製程壓力低於0.1托,則薄膜形成速率將低於實踐水平。若製程壓力高於10托,則將很難產生足夠電漿。
<實驗1>
使用如圖1中所示之裝置,形成氮化矽薄膜,且檢查由薄膜形成引起的粒子產生。如當前實例1,根據第一實施例使用如圖5中所示之時序圖執行薄膜形成。在當前實驗1中,在第一實施例中薄膜形成之製程條件係參考上述內容而設定。如比較實例1,除了排氣系統之閥門開度在第一步驟中設定為0%之外,在與當前實例1相同的製程條件下執行薄膜形成。在當前實例1及比較實例1中,在每次執行薄膜形成製程時計數晶圓上的粒子數目。
圖6為展示製程容器內粒子產生的圖表,其藉由根據實驗1中採用的當前實例1(PE1)及比較實例1(CE1)之薄膜形成製程獲得。在圖6中,左側展示當前實驗1(PE1)之資料,且右側展示比較實例1(CE1)之資料。水平軸指示晶圓製程之數目(執行數目)。左垂直軸表示粒子數目。右垂直軸指示晶圓上形成之累積薄膜厚度(μm)。在該圖中,曲線X1及X2代表累積薄膜厚度,且垂直條代表粒子數目。
如圖6所示,在比較實例1(CE1)的情況中,以相當大的頻率產生了非所需要之大量粒子,其發生與執行數目不相關。另一方面,在當前實例1(PE1)的情況下,所產生之粒子數目遠低於比較實例1,且穩定在低值處。因此,已經確認根據第一實施例的薄膜形成方法可提供較好的結果,而沒有意外產生大量粒子。
<第二實施例>
圖7為根據本發明之第二實施例之薄膜形成方法之氣體供應及排氣通路開度的時序圖。圖5中所示之時序圖經配置使得在供應NH3 氣之第三步驟T3中排氣通路84之閥門單元86之開度設定為100%(全開)。在此方面上,圖7中所示之時序圖經配置使得在供應NH3 氣之第三步驟T3中排氣通路84之閥門單元86之開度設定為略微小於100%(全開)。因此,根據製程中的需要,製程區域5內的壓力可受到控制以改良且優化自NH3 衍生之自由基的密度。
<第三實施例>
圖8為根據本發明之第三實施例之薄膜形成方法之氣體供應及排氣通路開度的時序圖。配置第三實施例以將閥門單元86之開度當作一參數,以控制由薄膜形成製程產生之氮化矽薄膜中之應力與氮化矽薄膜之潛在蝕刻速率之一或兩者。具體言之,根據第三實施例,第一步驟中閥門開度Vd1設定為第二及第四步驟中閥門開度之80%到95%。經此配置,製程區域5內的壓力得以控制為在第一步驟中略微增加,從而改良氮化矽薄膜之應力及/或蝕刻速率。
<實驗2>
使用如圖1中所示之裝置,形成氮化矽薄膜,且檢查薄膜之蝕刻速率。如當前實驗2,使用圖8中所示之根據第三實施例之時序圖來執行薄膜形成,以形成氮化矽薄膜,且因此形成之薄膜經受濕式蝕刻。在當前實例2中,薄膜形成之製程條件係參考第一實施例中之上述內容而設定。具體言之,射頻功率設定為250瓦特(供應NH3 ),且薄膜形成溫度設定為400℃。在供應DCS氣體之第一步驟T1中,製程區域5內的壓力設定為1.2托、3.5托,及5.2托(極限壓力值)不同的三個值。此外,為執行濕式蝕刻,具有如此形成之氮化矽薄膜的晶圓浸沒在0.1%稀氟氫酸(DHF)中歷經60秒。
圖9為展示薄膜之濕式蝕刻速率與DCS壓力的圖,其係藉由根據實驗2中採用之當前實例2的薄膜形成製程來獲得。在圖9中,水平軸指示DCS供應期間的製程壓力(托)。垂直軸指示由濕式蝕刻獲得之薄膜的蝕刻速率。如圖9中所示,已確認當壓力在第一步驟中,於1.2到5.2托的範圍內改變時,蝕刻速率在3.4到3.8奈米/分鐘的範圍內調整。
<第四實施例>
圖10為展示根據本發明之第四實施例之薄膜形成裝置(垂直CVD裝置)之真空排氣系統的視圖。在如圖1中所示之裝置中,真空排氣系統GE僅包括一排氣通路84。在此種情況中,反應副產物(例如氯化銨)可在真空排氣系統GE中產生且堵塞該排氣系統。在此方面,如圖10中所示,根據第四實施例之真空排氣系統GEX經配置使得包含DCS之第一製程氣體及包含NH3 之第二製程氣體分別經由專用的第一排氣系統及第二排氣系統進行排氣。
具體言之,第一排氣系統及第二排氣系統分別包括平行安置的排氣通路84A及排氣通路84B。排氣通路84A及84B分別具備閥門單元(開度調節閥)86A及86B、真空泵88A及88B,及去毒單元89A及89B,其依按此次序安置且具有與上述真空排氣系統之對應構件相同的結構。第一排氣通路84A主要用於排放第一製程氣體(DCS)及與此同時供應其他氣體。第二排氣通路84B主要用於排放第二製程氣體(NH3 )及與此同時供應其他氣體。
置於排氣通路84A及84B上的兩個閥門單元86A及86B之總閥門開度被控制為等效於一閥門的開度。換言之,主控制部分48控制兩個閥門單元86A及86B之總閥門開度,以符合上述閥門單元86之閥門開度,以執行對應於圖5、圖7、或圖8之薄膜形成方法。
圖11為根據第四實施例之薄膜形成方法之排氣通路開度的時序圖。以下閥門操作等同於根據第一實施例如圖5中所示之閥門單元86之操作(作為實例)。因此,未在圖11中展示的其他方式(例如氣體供應方式)與圖5中展示之方式相同。如圖11中所示,用於第一製程氣體之閥門單元86A在設定為閥門開度Vd1狀態與設定為全閉狀態之間重複地切換。用於第二製程氣體之其他閥門單元86B在設定為全開狀態與設定為全閉狀態之間重複地切換。
具體言之,在第一步驟T1中,閥門單元86A設定為閥門開度Vd1,從而使第一製程氣體經此流動,同時其他閥門單元86B設定為0%(全閉)。在第三步驟T3中,閥門單元86A設定為0%(全閉),同時閥門單元86B設定為100%(全開),從而使第二製程氣體經此流動。因此,第一製程氣體及第二製程氣體分別經由相互隔開的排氣通路84A及84B流動。由於兩種氣體沒有在排氣系統中混合,故沒有反應副產物產生或堵塞真空排氣系統GEX。
<第五實施例>
圖12為展示根據本發明之第五實施例之薄膜形成裝置(垂直CVD裝置)之第一、第三,及第四製程氣體供應線路的視圖。根據該第五實施例,第一步驟T1經配置以將摻雜氣體與包含DCS之第一製程氣體一起供應到製程區域5中。摻雜氣體包括含有含硼氣體(此實例中為BCl3 )之第三製程氣體及包含乙烯氣體(C2 H4 氣體)之第四製程氣體。藉由其中使用第三及第四製程氣體之兩者的情況例示說明第五實施例。在此種情況中,待形成之薄膜為SiBCN(摻硼之氮化矽碳)絕緣膜,意即,含硼及碳。
如圖12中所示,第一、第三,及第四製程氣體供應線路130、132,及134連接到共同混合氣體供應線路135。混合氣體供應線路135具有一氣體混合槽142,其組態為混合第一、第三,及第四製程氣體。氣體混合槽142經設計為具有用以均勻混合氣體及暫時儲存足夠量之供應混合氣體之容積,例如約四公升的容積(根據氣體流動速率而變化)。氣體混合槽142經由具備切換閥144A的混合氣體供應線144連接到由石英管形成之配氣噴嘴36(見圖1)。
氣體混合槽142分別經由第一、第三,及第四製程氣體供應線路130、132,及134之氣體供應線(氣體通路)150、152,及154連接到DCS氣體、BCl3 氣體,及C2 H4 氣體之氣源130S、132S,及134S。氣體供應線150、152,及154分別具備切換閥150A、152A,及154A以及流動速率控制器150B、152B,及154B,例如質量流量控制器。經此配置,DCS氣體、BCl3 氣體,及C2 H4 氣體可按受控流動速率供應。
通知,可藉由以下兩種模式形成及供應混合氣體。第一模式包含從第一、第三,及第四製程氣體供應線路130、132,及134連續供應第一、第三,及第四製程氣體到氣體混合槽142,同時從氣體混合槽421按脈衝方式供應混合氣體到製程區域5(見圖1)。第二模式包含從第一、第三,及第四製程氣體供應線路130、132,及134同時按脈衝方式以第一相位供應第一、第三,及第四製程氣體到氣體混合槽142,同時從氣體混合槽142按脈衝方式以與第一相位相反的第二相位將混合氣體供應到製程區域5。
為實現此等模式,第一、第三,及第四製程氣體供應線路130、132,及134之切換閥150A、152A,及154A以及混合氣體供應線路135之切換閥144A根據主控制部分48之指令打開及關閉(如下)。在第一模式中,在從薄膜形成製程開始到結束的複數個循環中,切換閥150A、152A,及154A保持打開狀態,同時切換閥144A按脈衝方式打開及關閉。在第二模式中,在從薄膜形成製程開始到結束的複數個循環中,切換閥150A、152A,及154A按脈衝方式打開及關閉,同時切換閥144A以相反的相位按脈衝方式關閉及打開。
<第一到第五實施例之共同問題及修改>
在根據製程程式的主控制部分48的控制下執行根據第一到第五實施例的每一方法(如上所述)。圖13為圖解展示主控制部分48之結構的方塊圖。主控制部分48包括一CPU 210,其連接到一儲存部分212;一輸入部分214;及一輸出部分216。儲存部分212儲存製程程式及製程配方。輸入部分214包括輸入器件,例如鍵盤、指向器件,及儲存媒體驅動器,以與操作者互動。輸出部分216輸出用以控制處理裝置之部件的控制訊號。圖13亦展示附著到電腦的處於可移動狀態的儲存媒體218。
根據第一到第五實施例的每一方法可作為在處理器上執行的程式指令寫到將應用於半導體處理裝置之電腦可讀儲存媒體中。或者,此種程式指令可藉由通信媒體傳送,且從而應用於半導體處理裝置。儲存媒體之實例為磁碟(軟碟、硬碟(其代表為包括在儲存部分212中的硬碟)等)、光碟(CD、DVD等)、磁光碟(MO等),及半導體記憶體。用以控制半導體處理裝置之操作的電腦讀取儲存在儲存媒體中的程式指令,且該等程式指令在處理器上執行,藉此執行對應的方法(如上所述)。
在第一到第五實施例中,例如,第一製程氣體包含作為矽烷族氣體的DCS氣體。在此方面,矽烷族氣體可為選自由下列各物組成之群的一或多種氣體:二氯矽烷(DCS)、六氯二矽烷(HCD)、單矽烷(SiH4 )、二矽烷(Si2 Cl6 )、六甲基二矽氮烷(HMDS)、四氯矽烷(TCS)、二矽烷基胺(DSA)、三矽烷基胺(TSA),及雙第三丁基胺基矽烷(BTBAS)。
在第一到第五實施例中,第二製程氣體包含氮化氣體,其可為氨氣(NH3 )或氮氣(N2 )。在本發明應用於氧氮化矽薄膜之形成的情況中,氧氮化氣體,例如一氧化二氮(N2 O)或一氧化氮(NO),可用於取代氮化氣體。在本發明應用於氧化矽薄膜之形成的情況中,例如氧氣(O2 )或臭氧(O3 )之氧化氣體可用於取代氮化氣體。
在第五實施例中,藉由BCl3 氣體例示說明用於摻雜硼之含硼氣體。在此方面,含硼氣體可為選自由BCl3 、B2 H6 、BF3 ,及B(CH3 )3 組成之群的一或多種氣體。
在第一到第五實施例中,用於薄膜形成裝置2產生電漿之激勵部分50與製程容器4整合。或者,激勵部分50可與製程容器4隔開安置,從而激勵製程容器4外面的NH3 氣體(所謂的遠端電漿),且然後供應經激勵的NH3 氣體到製程容器4中。目標基板不限於半導體晶圓,且其可為另一基板,諸如LCD基板或玻璃基板。
熟習此項技術者易瞭解其他優點及修改。因此,本發明在其廣泛的態樣中不限於特定細節及本文所示及所述之代表性實施例。因此,可進行各種修改而不脫離附加申請專利範圍及其均等物定義之概括發明概念之精神或範疇。
2...薄膜形成裝置
4...製程容器
5...製程區域
6...石英頂板
8...歧管
10、24、106...密封件
12...晶舟
12A...支柱
14...絕熱圓筒
16...臺子
18...蓋子
20...旋轉軸
22...磁性流體密封
25...升降機構
26...臂
28、30、32、130、132、134...氣體供應線路
28S、30S、32S、130S、132S、134S...氣源
34、36、38...配氣噴嘴
34A、36A、38A...噴氣孔
42、44、46、144、150、152、154...氣體供應線
42A、44A、46A、144A、150A、152A、154A...切換閥
42B、44B、46B、150B、152B、154B...流動速率控制器
48...主控制部分
50...氣體激勵部分
52...排氣埠
54...開口
56...石英蓋
58...電極
60...射頻電源
62...饋電線
64...絕緣保護蓋
66...排氣埠蓋構件
68...出氣口
70...加熱器
84、84B...排氣通路
86、86A、86B...閥門單元
88、88A、88B...真空泵
89、89A、89B...去毒單元
90...圓柱形閥殼
92...閥埠
94...閥座
96...互連通路
98...閥門驅動部分
100...致動器
102...桿
103...可繞性波紋管
104...閥體
135...混合氣體供應線路
142...氣體混合槽
210...CPU
212...儲存部分
214...輸入部分
216...輸出部分
218...儲存媒體
302...製程容器
304...晶舟
306...排氣系統
308...排氣閥
310...真空泵
PS...電漿產生區域
W...晶圓
圖1為根據本發明之一實施例展示薄膜形成裝置(垂直CVD裝置)的剖視圖;圖2為展示圖1中所示裝置之部分的剖面平面圖;圖3為展示圖1中所示之該裝置之排氣系統中使用的閥門單元(開度調節閥)的剖面側視圖;圖4為圖3中所示之該閥門單元的剖面正視圖;圖5為展示根據本發明之第一實施例之薄膜形成方法之氣體供應及排氣通路開度的時序圖;圖6為展示製程容器內粒子產生的圖表,其藉由根據實驗1中採用的當前實例1(PE1)及比較實例1(CE1)之薄膜形成製程獲得。
圖7為根據本發明之第二實施例之薄膜形成方法之氣體供應及排氣通路開度的時序圖;圖8為根據本發明之第三實施例之薄膜形成方法之氣體供應及排氣通路開度的時序圖;圖9為展示與薄膜之濕式蝕刻速率相關的DCS壓力的圖表,其藉由根據實驗2中採用的當前實例2之薄膜形成製程獲得。
圖10為展示根據本發明之第四實施例之薄膜形成裝置(垂直CVD裝置)之真空排氣系統的視圖;圖11為展示根據第四實施例之薄膜形成方法之排氣通路開度的時序圖;圖12為展示根據本發明之第五實施例之薄膜形成裝置(垂直CVD裝置)之第一、第三,及第四製程氣體供應線路的視圖;圖13為圖解展示主控制部分之結構的方塊圖;圖14為圖解展示專利文獻2揭示之習知垂直薄膜形成裝置的視圖;及圖15為專利文獻2揭示之薄膜形成方法之氣體供應及排氣通路開度的時序圖。
2...薄膜形成裝置
4...製程容器
5...製程區域
6...石英頂板
8...歧管
10、24...密封件
12...晶舟
12A...支柱
14...絕熱圓筒
16...臺子
18...蓋子
20...旋轉軸
22...磁性流體密封
25...升降機構
26...臂
28、30、32...氣體供應線路
28S、30S、32S...氣源
34、38...配氣噴嘴
34A、36A...噴氣孔
42A、44A、46A...切換閥
42B、44B、46B...流動速率控制器
46...氣體供應線
48...主控制部分
50...氣體激勵部分
52...排氣埠
54...開口
56...石英蓋子
58...電極
60...射頻電源
62...饋電線
64...絕緣保護蓋
66...排氣埠蓋構件
68...出氣口
70...加熱器
84...排氣通路
86...閥門單元
88...真空泵
89...去毒單元
W...晶圓

Claims (18)

  1. 一種用以在一製程區域中藉由CVD於一目標基板上形成一含矽絕緣膜之薄膜形成方法,其中該製程區域經配置以選擇性地供應一淨化氣體、包含一矽烷族氣體之一第一製程氣體,及包含選自由一氮化氣體、一氮氧化氣體,及一氧化氣體組成之群之氣體之一第二製程氣體,該方法被預設為重複一循環複數次,以積層分次形成之薄膜,該循環交替地包含:第一步驟,其執行供應該第一製程氣體到該製程區域,同時維持停止供應該第二製程氣體及該淨化氣體到該製程區域;第二步驟,其執行供應該淨化氣體到該製程區域,同時維持停止供應該第一及第二製程氣體到該製程區域;第三步驟,其執行供應該第二製程氣體到該製程區域,同時維持停止供應該第一製程氣體及該淨化氣體到該製程區域;及第四步驟,其執行供應該淨化氣體到該製程區域,同時維持停止供應該第一及第二製程氣體到該製程區域,其中該循環包含:在該第一到第四步驟中,經由具備一開度調節閥之一排氣通路對該製程區域連續真空排氣,而使得該閥在該第一步驟中之開度經設定為該閥在該第二及第四步驟中之開度之5%到20%,從而控制該矽烷族氣體在該目標基板上之吸附之增加與該製程區域中存在的粒 子數目之減少之間的平衡,且該閥在該第三步驟中之開度經設定為等同於該閥在該第二及第四步驟中之開度,或為大於該閥在該第一步驟中之開度且小於該閥在該第二及第四步驟中之開度。
  2. 如請求項1之方法,其中該第三步驟包含供應該第二製程氣體到該製程區域,同時由一激發機構來激發該第二製程氣體之一激發週期。
  3. 如請求項1之方法,其中該第一步驟經配置以將一摻雜氣體與做為一部分該第一製程氣體之該矽烷族氣體一起供應到該製程區域中。
  4. 如請求項3之方法,其中該矽烷族氣體及該摻雜氣體在置於該製程區域外之一氣體混合槽內被混合後,以混合氣體方式被供應到該製程區域中。
  5. 如請求項1之方法,其中該第一製程氣體包含選自由下列各物組成之群的至少一種氣體:二氯矽烷、六氯二矽烷、單矽烷、二矽烷、六甲基二矽氮烷、四氯矽烷、二矽烷基胺、三矽烷基胺,及雙第三丁基胺基矽烷。
  6. 如請求項1之方法,其中該第二製程氣體包含選自由下列各物組成之群的至少一種氣體:氨氣、氮氣、一氧化二氮、一氧化氮、氧氣,及臭氧。
  7. 如請求項1之方法,其中該淨化氣體為氮氣。
  8. 如請求項3之方法,其中該摻雜氣體包含含有一含硼氣體之一第三製程氣體及包含乙烯氣體之一第四製程氣體之一或兩者,該含硼氣體選自由BCl3 、B2 H6 、BF3 ,及 B(CH3 )3 組成之群。
  9. 如請求項1之方法,其中該激發機構包括一電漿產生區域,其置於與該製程區域相連通的一空間中,且在該第二製程氣體之一供應埠與該目標基板之間,且當該第二製程氣體通過該電漿產生區域時受到激發。
  10. 如請求項9之方法,其中該第一製程氣體及該淨化氣體自該電漿產生區域與該目標基板之間之一位置供應到該製程區域。
  11. 如請求項1之方法,其中該製程區域經配置以在一垂直方向上相隔一定距離容納複數個目標基板,且該等目標基板由一配置成環繞該製程區域的加熱器加熱。
  12. 如請求項11之方法,其中該第一與該第二製程氣體及該淨化氣體之每一者係自複數個噴氣孔被供應,以形成與該等目標基板相平行之氣流,且該等噴氣孔在一垂直方向排列在該等目標基板之上。
  13. 如請求項1之方法,其中該排氣通路包含配置為彼此平行之第一及第二排氣通路,且該閥包含分別置於該第一及第二排氣通路上之用於開度調節之第一及第二閥,使得該第一及第二閥之一總閥開度作為等效之一等效排氣閥之一開度而被控制。
  14. 如請求項13之方法,其中該第二及第四步驟被配置為將該第一閥設為關閉且該第二閥設為開啟,以使該等效排氣閥具有一定開度,且該第一步驟被配置為將該第二閥設為關閉且該第一閥設為開啟,以使該等效排氣閥具有 該一定開度之5%到20%。
  15. 一種用以在一製程區域中藉由CVD於複數個目標基板上形成一氮化矽絕緣膜之薄膜形成方法,其中該複數個目標基板在一垂直方向上相隔一定距離收容於該製程區域中,該製程區域經配置以選擇性地供應一淨化氣體、一矽烷族氣體及氨氣,該方法被預設為重複一循環複數次,以積層分次形成之薄膜,該循環交替地包含:第一步驟,其執行供應該矽烷族氣體到該製程區域,同時維持停止供應該氨氣及該淨化氣體到該製程區域;第二步驟,其執行供應該淨化氣體到該製程區域,同時維持停止供應該矽烷族氣體及該氨氣到該製程區域;第三步驟,其執行供應該氨氣到該製程區域,同時維持停止供應該矽烷族氣體及該淨化氣體到該製程區域,且該第三步驟包含供應該氨氣到該製程區域,同時由一激發機構來激發該氨氣之一激發週期;及第四步驟,其執行供應該淨化氣體到該製程區域,同時維持停止供應該矽烷族氣體及該氨氣到該製程區域,其中該循環包含:藉由置於該製程區域外之一氣體混合槽混合該矽烷族氣體及乙烯氣體以形成一混合氣體,從而使第一步驟被配置為將該矽烷族氣體及該乙烯氣體以混合氣體之方式供應到該製程區域中,且該循環包含:在該第一到第四步驟中,經由具備一開度調節閥之一排氣通路對該製程區域連續真空排氣,而 使得該閥在該第一步驟中之開度經設定為該閥在該第二及第四步驟中之開度之5%到20%,從而控制該矽烷族氣體在該目標基板上之吸附之增加與該製程區域中存在的粒子數目之減少之間的平衡,且該閥在該第三步驟中之開度經設定為等同於該閥在該第二及第四步驟中之開度,或為大於該閥在該第一步驟中之開度且小於該閥在該第二及第四步驟中之開度。
  16. 如請求項15之方法,其中上述形成混合氣體係被配置為於該氣體混合槽中混合該矽烷族氣體及乙烯氣體,且進而混合一含硼氣體,且該第一步驟被配置為將該矽烷族氣體、該乙烯氣體及該含硼氣體以混合氣體之方式供應到該製程區域中。
  17. 如請求項15之方法,其中該排氣通路包含配置為彼此平行之第一及第二排氣通路,且該閥包含分別置於該第一及第二排氣通路上之用於開度調節之第一及第二閥,使得該第一及第二閥之總閥開度作為等效之一等效排氣閥之開度而被控制。
  18. 如請求項17之方法,其中該第二及第四步驟被配置為將該第一閥設為關閉且該第二閥設為開啟,以使該等效排氣閥具有一定開度,且該第一步驟被配置為將該第二閥設為關閉且該第一閥設為開啟,以使該等效排氣閥具有該一定開度之5%到20%。
TW095128308A 2005-08-02 2006-08-02 形成含矽絕緣膜之方法及裝置 TWI383448B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005224741A JP4305427B2 (ja) 2005-08-02 2005-08-02 成膜方法、成膜装置及び記憶媒体

Publications (2)

Publication Number Publication Date
TW200721307A TW200721307A (en) 2007-06-01
TWI383448B true TWI383448B (zh) 2013-01-21

Family

ID=37699454

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095128308A TWI383448B (zh) 2005-08-02 2006-08-02 形成含矽絕緣膜之方法及裝置

Country Status (4)

Country Link
US (1) US7758920B2 (zh)
JP (1) JP4305427B2 (zh)
CN (1) CN1908228B (zh)
TW (1) TWI383448B (zh)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5082595B2 (ja) * 2007-05-31 2012-11-28 東京エレクトロン株式会社 成膜装置
WO2008149989A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
US7989354B2 (en) * 2007-06-08 2011-08-02 Tokyo Electron Limited Patterning method
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US20090056877A1 (en) 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
KR101043211B1 (ko) * 2008-02-12 2011-06-22 신웅철 배치형 원자층 증착 장치
JP5155070B2 (ja) * 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5099101B2 (ja) 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5223804B2 (ja) * 2009-07-22 2013-06-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9222173B2 (en) 2010-06-04 2015-12-29 Evatec Advanced Technologies Ag Vacuum processing device
JP5545061B2 (ja) * 2010-06-18 2014-07-09 東京エレクトロン株式会社 処理装置及び成膜方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20120244685A1 (en) * 2011-03-24 2012-09-27 Nuflare Technology, Inc. Manufacturing Apparatus and Method for Semiconductor Device
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP2013077805A (ja) * 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
CN102394222B (zh) * 2011-11-24 2016-05-04 上海华虹宏力半导体制造有限公司 防止晶圆表面形成固体颗粒的方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
CN103243310B (zh) * 2012-02-14 2017-04-12 诺发***公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP5956972B2 (ja) * 2012-12-21 2016-07-27 東京エレクトロン株式会社 成膜方法
KR102020446B1 (ko) * 2013-01-10 2019-09-10 삼성전자주식회사 에피텍시얼막 형성 방법 및 이를 수행하기 위한 장치 및 시스템
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5852151B2 (ja) * 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6291297B2 (ja) * 2014-03-17 2018-03-14 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
SG10202000545RA (en) * 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
JP6301866B2 (ja) 2015-03-17 2018-03-28 東芝メモリ株式会社 半導体製造方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6529348B2 (ja) * 2015-06-05 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN105185693A (zh) * 2015-08-20 2015-12-23 上海华力微电子有限公司 半导体衬底上二氧化硅介质层的形成方法
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10662529B2 (en) * 2016-01-05 2020-05-26 Applied Materials, Inc. Cooled gas feed block with baffle and nozzle for HDP-CVD
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6853116B2 (ja) * 2017-05-31 2021-03-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
JP2020178020A (ja) * 2019-04-17 2020-10-29 国立大学法人山形大学 薄膜堆積方法及び装置
WO2020222853A1 (en) 2019-05-01 2020-11-05 Lam Research Corporation Modulated atomic layer deposition
JP7330091B2 (ja) 2019-12-24 2023-08-21 東京エレクトロン株式会社 成膜方法
WO2021181498A1 (ja) * 2020-03-10 2021-09-16 株式会社Kokusai Electric 基板処理装置、排気流量制御装置及び半導体装置の製造方法
CN116057677A (zh) * 2020-09-24 2023-05-02 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
JP2021061428A (ja) * 2020-12-25 2021-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139640A (en) * 1998-08-12 2000-10-31 Advanced Micro Devices, Inc. Chemical vapor deposition system and method employing a mass flow controller
JP2001196365A (ja) * 2000-01-11 2001-07-19 Fujitsu Vlsi Ltd 成膜方法、成膜装置及び半導体装置の製造方法
US20030232514A1 (en) * 2002-03-05 2003-12-18 Young-Seok Kim Method for forming a thin film using an atomic layer deposition (ALD) process
WO2004006637A1 (ja) * 2002-07-08 2004-01-15 Shinko Electric Industries Co., Ltd. 配線パターン構造及びバンプ形成方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
KR20080013025A (ko) * 2000-12-27 2008-02-12 동경 엘렉트론 주식회사 처리 장치
JP3947126B2 (ja) * 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
US6777308B2 (en) * 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
JP2004047624A (ja) * 2002-07-10 2004-02-12 Renesas Technology Corp 半導体装置およびその製造方法
KR100771800B1 (ko) 2003-01-24 2007-10-30 도쿄 엘렉트론 가부시키가이샤 피처리 기판 상에 실리콘 질화막을 형성하는 cvd 방법
JP2004281853A (ja) 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
JP4242733B2 (ja) * 2003-08-15 2009-03-25 株式会社日立国際電気 半導体装置の製造方法
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139640A (en) * 1998-08-12 2000-10-31 Advanced Micro Devices, Inc. Chemical vapor deposition system and method employing a mass flow controller
JP2001196365A (ja) * 2000-01-11 2001-07-19 Fujitsu Vlsi Ltd 成膜方法、成膜装置及び半導体装置の製造方法
US20030232514A1 (en) * 2002-03-05 2003-12-18 Young-Seok Kim Method for forming a thin film using an atomic layer deposition (ALD) process
WO2004006637A1 (ja) * 2002-07-08 2004-01-15 Shinko Electric Industries Co., Ltd. 配線パターン構造及びバンプ形成方法

Also Published As

Publication number Publication date
JP2007042823A (ja) 2007-02-15
CN1908228A (zh) 2007-02-07
US7758920B2 (en) 2010-07-20
JP4305427B2 (ja) 2009-07-29
US20070032047A1 (en) 2007-02-08
CN1908228B (zh) 2012-07-04
TW200721307A (en) 2007-06-01

Similar Documents

Publication Publication Date Title
TWI383448B (zh) 形成含矽絕緣膜之方法及裝置
TWI518780B (zh) 半導體製程用薄膜形成方法與裝置
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
TWI461567B (zh) 於直立式批次薄膜形成設備中之薄膜形成方法
TWI409858B (zh) 膜形成方法
US8025931B2 (en) Film formation apparatus for semiconductor process and method for using the same
US7825039B2 (en) Vertical plasma processing method for forming silicon containing film
KR100890684B1 (ko) 반도체 처리용 성막 방법
TWI552225B (zh) SiCN膜之形成方法及裝置
TWI440087B (zh) 半導體製程之薄膜形成裝置及方法、與電腦可讀取媒體
TWI478238B (zh) 成膜方法及半導體製程用裝置
US8119544B2 (en) Film formation method and apparatus for semiconductor process
TWI443714B (zh) 成膜裝置及使用其之方法
US8394200B2 (en) Vertical plasma processing apparatus for semiconductor process
US8168270B2 (en) Film formation method and apparatus for semiconductor process
US7427572B2 (en) Method and apparatus for forming silicon nitride film
TWI407509B (zh) 垂直電漿加工裝置及使用其之方法
KR100980126B1 (ko) 성막 방법, 성막 장치 및 기억매체

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees