TWI401581B - 半導體積體電路設計佈局產生方法、半導體裝置製造方法、及電腦可讀取媒體 - Google Patents

半導體積體電路設計佈局產生方法、半導體裝置製造方法、及電腦可讀取媒體 Download PDF

Info

Publication number
TWI401581B
TWI401581B TW096139312A TW96139312A TWI401581B TW I401581 B TWI401581 B TW I401581B TW 096139312 A TW096139312 A TW 096139312A TW 96139312 A TW96139312 A TW 96139312A TW I401581 B TWI401581 B TW I401581B
Authority
TW
Taiwan
Prior art keywords
modification
pattern
design layout
modified
design
Prior art date
Application number
TW096139312A
Other languages
English (en)
Other versions
TW200836082A (en
Inventor
Sachiko Kobayashi
Suigen Kyoh
Original Assignee
Toshiba Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Kk filed Critical Toshiba Kk
Publication of TW200836082A publication Critical patent/TW200836082A/zh
Application granted granted Critical
Publication of TWI401581B publication Critical patent/TWI401581B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

半導體積體電路設計佈局產生方法、半導體裝置製造方法、及電腦可讀取媒體
本發明係關於一種形成一半導體積體電路之一設計圖案的設計佈局產生方法,且更特定言之係關於用於修改一產生的設計佈局的技術。本發明進一步係關於使用該設計佈局產生方法製造半導體積體電路裝置之一半導體裝置製造方法並係關於一電腦可讀取媒體,其中已儲存在該設計佈局產生方法中要在一電腦上執行的程式指令。
在半導體積體電路的設計中,需要符合設計規則,其係針對最小尺寸、空間、形狀及其他的基本尺寸規則,並考量裝置條件與程序條件加以決定。然而,即使設計資料符合設計規則,由於在實施光學近接校正(OPC)/解析度增強技術(RET)處理之後運行一程序模擬所致可能發現需要修改的圖案區域(例如程序熱點)。明確地說,程序熱點包括由於圖案寬度在該等圖案之一局部增加或減小所致圖案彼此接觸或可能接觸或脫離或可能脫離之一未預期點、線寬度或空間寬度不滿足預定要求之一點及程序容限係低於一預定值之一點。
已提出一方法,其藉由重複修改熱點處的設計規則、程序近接校正參數及半導體程序參數之至少一者並基於提供的設計佈局改變指南部分修改該設計佈局來算出決定一設計佈局修改政策之一表(一設計佈局改變指南)(例如,參考日本專利申請KOKAI公開案第2005-181524號)。
存在若干部分修改設計佈局的方法。例如,藉由擾動構成該圖案之邊緣來得到滿足一特定條件之一圖案的方法(例如,參考日本專利申請KOKAI公開案第2005-181612號)。此外,已提出另一方法,其指定一程序熱點處之設計佈局上的線寬度或空間寬度之修改量,從而移除該熱點。
儘管使用該等修改方法,仍不可能正確修改該輸入設計資料中的所有程序熱點。例如,根據一程序熱點附近的圖案佈局,最佳圖案可能不包括於藉由擾動產生的圖案中。此外,該線寬度/空間寬度的修改量可能過大或過小。此外,一指定點處可能不能確保一足夠的修改量,因為設計規則的限制。
如上所述,使用藉由參考一表來決定修改量的傳統方法,根據圖案,修改量可能不足/過多。因此,可能不能作出最佳修改。
依據本發明之一第一具體實施例,提供一設計佈局產生方法,其包含:藉由一第一修改方法修改從一設計佈局擷取之一第一修改區域;以及藉由基於從該第二修改區域中之至少一部分設計佈局所計算之一圖案修改指南的一第二修改方法修改從該設計佈局擷取以包括該第一修改區域之一第二修改區域。
依據本發明之一第二具體實施例,提供一半導體裝置製造方法,其包含:在一處理的材料上形成一光阻層;使用 一光罩曝露該光阻層;藉由顯影該曝露的光阻層形成一光阻圖案;以及藉由將該光阻圖案用作該光罩之至少一部分圖案化該處理的材料,該光罩具有基於藉由一設計佈局產生方法產生之一設計佈局形成於其上之一圖案,該設計佈局產生方法包括:藉由一第一修改方法修改從一設計佈局擷取之一第一修改區域;以及藉由基於從該第二修改區域中之至少一部分設計佈局所計算之一圖案修改指南的一第二修改方法修改從該設計佈局擷取以包括該第一修改區域之一第二修改區域。
依據本發明之一第三具體實施例,提供一電腦可讀取媒體,其係經組態用以儲存用於在一電腦上執行的程式指令,該等程式指令引起該電腦執行:藉由一第一修改方法修改從一設計佈局擷取之一第一修改區域;以及藉由基於從該第二修改區域中之至少一部分設計佈局所計算之一圖案修改指南的一第二修改方法修改從該設計佈局擷取以包括該第一修改區域之一第二修改區域。
(第一具體實施例)
圖1係幫助說明依據本發明之一第一具體實施例之一設計佈局產生方法的流程圖。圖2係顯示實現圖1之設計佈局產生方法的自動設計佈局修改工具200及其周邊電路之組態的示意圖。
首先,產生一半導體積體電路之一設計佈局201,其符合設計規則203(針對最小尺寸、空間、形狀、密度、佈局 及圖案之其他因素的基本尺寸規則),並考量裝置條件與程序條件加以決定。
接下來,藉由實際微影術在一晶圓上形成一設計佈局201、模擬該設計佈局之一測試圖案或藉由使此等圖案經受一光罩資料準備(MDP)程序、OPC程序、RET程序及類似程序獲得之圖案。使用一掃描電子顯微鏡(SEM)或類似者來測量該等尺寸並執行一測試以測量該等電特徵。或者,在使一設計佈局201或模擬該設計佈局之一測試圖案經受包括光罩資料準備(MDP)、OPC及RET的程序從而產生光罩資料之後,運行一程序模擬。基於該等程序的結果,發現程序熱點,其具有以下問題:例如,圖案於一未預期點彼此接觸或脫離;線寬度或空間寬度不滿足預定要求;以及該程序容限係低於一預定值。
明確地說,針對得自該測試或模擬之一圖案的各部分計算該程序風險之一評估值(一第一評估值)。此外,對應於已計算評估值的晶圓上之圖案將相同評估值提供給該設計佈局圖案(圖1中之步驟S101)。
可從藉由一程序模擬或類似者形成之一圖案的誤差類別、尺寸、邊緣放置誤差(EPE)的數目、曝光聚焦與曝光的微影術容限、使用其他層的覆蓋或此等之一組合的觀點來公式化用作一風險指數的評估值。
例如,在該誤差類別係一短路誤差(其係使得應從一相鄰圖案分離之一圖案有接觸相鄰圖案的危險)的情況下,若一80 nm的理想空間寬度由於一圖案中在該空間之一側 上之一邊緣放置誤差所致而減小至75 nm,則可將75/80用作一評估值。在該誤差類別係一開路誤差(其係使得一連續線圖案有斷裂的危險),可將藉由一實際線圖案寬度除以一設計線圖案寬度所獲得的值用作一評估值。此等情況係使得評估值越大風險越低之一評估值之定義的範例。
此外,可將與一微影模擬影像之尺寸臨界值的差分級並可將所得值用作一評估值,如下所述。
例如,在評估如圖5A所示之一線圖案(箭頭部分)中一開路誤差之風險的情況下,使用如表1所示之評估值來完成分級,其中一微影模擬影像之70 nm線寬度係一風險臨界值。此時,該設計線圖案之線寬度尺寸係100 nm。
例如,在評估如圖5B所示之一空間(箭頭部分)中一短路誤差之風險的情況下,使用如表2所示之評估值來完成分級,其中一微影模擬影像之55 nm空間尺寸係一風險臨界值。此時,該設計空間尺寸係100 nm。
例如,在如圖5C所示之一線圖案端(箭頭部分)中之一線端短路誤差中,使用如表3所示之評估值來完成分級,其中一微影模擬影像之50 nm退化尺寸係一風險臨界值。
此外,例如,在微影模擬的結果中,可將一轉錄圖案之物件邊緣的對比或一轉錄圖案之一光學影像的斜率(將光學影像轉錄至一晶圓上的一光學影像之強度相對於一強度臨界值的改變速率)分級,並將所得值設定為一評估值。
例如,使用如表4所示之評估值來完成分級,其中一影像的0.6(以任意單位)之斜率係一風險臨界值。
例如,使用如表5所示之評估值來完成分級,其中一影像的0.6(以任意單位)之斜率係一風險臨界值。
以上進行分級並將所得值設定為評估值的情況(如表1至表5所示)係使得評估值越大風險越低的一評估值之定義的範例。
當計算評估值時,可在複數個聚焦條件與複數個曝光的情況下運行一程序模擬,從而測量該等圖案尺寸、程序容限及邊緣放置誤差並組合所得值以找到評估值。
在以下說明的第一具體實施例中,將假定評估值越大風險越低給出一說明。
在步驟S101之後,擷取該設計佈局之一區域(一第一修改區域),其對應於包括一圖案之一區域,其中在步驟S101中計算的評估值(一第一評估值)不滿足一指定條件(即,該評估值係等於或小於一特定臨界值)且該風險係高於一特定位準(步驟S102)。該擷取之結果的資訊(即,該修改區域之位置及其評估值的資訊)係圖2中的程序熱點資訊 202(修改區域資訊)。
儘管在該第一具體實施例中將包括該設計佈局中之一程序熱點的區域設定為一修改區域,一修改區域可以不總是包括一程序熱點之一區域。可將包括一任意設計圖案之一區域設定為一修改區域。此外,可修改一圖案使得修改之後的修改區域之圖案的評估值係高於修改之前(或該評估係改良)。
接著,如圖2所示,將設計佈局201、程序熱點資訊202、設計規則203及修改表204輸入至一自動設計佈局修改工具200。在該修改表204中,已寫入參考該程序熱點資訊202決定的針對每一佈局圖案之修改量或一修改方法。在該自動設計佈局修改工具200中,例如,一電腦已藉由一可執行程式實現。
基於此等資訊片段,該自動設計佈局修改工具200算出一圖案修改指南(未顯示),其中已具體寫入使一圖案變厚、變薄或變形之一定量修改方法(步驟S103)。因為該修改係基於針對包括該圖案寬度之佈局資訊而預定的修改規則之修改表204來進行,故其係一基於規則的修改。
此時,例如,該程序熱點資訊202與圖案修改指南係儲存於一程序熱點修改程式庫儲存單元205中。該程序熱點修改程式庫儲存單元205係藉由(例如)連接至該電腦之一硬碟或一儲存媒體(例如一記憶體)實現。
接著,該自動設計佈局修改工具200基於所算出的圖案修改指南來修改該設計佈局201並產生一修改的設計佈局 (未顯示)(步驟S104)。
接下來,該自動設計佈局修改工具200從要修改之修改的設計佈局擷取包括一修改區域之一區域的佈局並將該擷取的佈局輸入至一基於模型的修改指南計算單元206。該基於模型的修改指南計算單元206係藉由(例如)一電腦可執行程式實現。
該基於模型的修改指南計算單元206使要修改之一部分的設計佈局經受光罩資料準備(MDP)、光學近接校正(OPC)、解析度增強技術(RET)處理及其他以產生光罩值資料並運行該光罩值資料之一程序模擬以產生形成於該晶圓上之一圖案的預測資料。
接下來,該基於模型的修改指南計算單元206針對該輸入設計佈局而基於該晶圓上之預測圖案計算一評估值(一第二評估值)(步驟S105)。可將與步驟S101中找到的評估值相同的參考用作此處使用之一評估值。
接著,從該修改的設計佈局之修改區域(該第一修改區域)進一步擷取該評估值仍等於或小於一特定臨界值之一區域(或一程序熱點)(步驟S106)並算出針對該擷取的程序熱點(一第二修改區域)之一圖案修改指南(未顯示)(步驟S107)。評估值係大於特定臨界值的部分不需要隨後的修改與一圖案修改指南,因為其係未算出。
類似於該第一修改區域,該擷取的第二修改區域不必包括一程序熱點。此外,該第二修改區域可以係包括該第一修改區域之至少一部分之一區域或比該第一修改區域大或 小。
在步驟S107中,使用基於一特定演算法之一自動修改模型來自動算出一圖案修改指南。明確地說,在傳統技術中,該修改器(設計器)基於佈局修改之結果產生一新的修改表或藉由試誤法來適當地修改該圖案。然而,在該第一具體實施例中,可藉由施加依據該修改區域之佈局之一指定演算法來自動計算一適合的修改指南。例如,依據該佈局修改的區域中之一修改之前與之後的評估值中之波動來算出一圖案修改指南。即,可針對在步驟S104中之修改之後擷取的程序熱點中風險之減小不足的一部分提供用以進一步促進一修改之一修改指南。例如,若一修改不足且一短路誤差仍保持於一短路誤差已發生之一部分中,或若一短路誤差之評估值中幾乎不存在變化,則與先前修改相比較可增加邊緣移動的修改量或可增加要修改的邊緣。此外,在修改之前與之後修改區域之風險已增加之一部分中(即,評估值已減小),可在不同於最後一次修改該等邊緣之方向的修改方向上移動該等邊緣。以此方式,針對所有該等程序熱點依據一指定演算法具體算出每一熱點處之一修改方法並算出列舉其之一圖案修改指南(步驟S107)。
該基於模型的修改指南計算單元206可將一程序熱點之位置及其評估值的資訊與在步驟S105至S107中獲得的圖案修改指南儲存於該程序熱點修改程式庫儲存單元205(修改程式庫儲存單元)中作為歷史資訊。
然後,該基於模型的修改指南計算單元206將在步驟 S107中算出的圖案修改指南發送至該自動設計佈局修改工具200。
該自動設計佈局修改工具200決定從該基於模型的修改指南計算單元206發送的圖案修改指南是否係空的或根本尚未寫入修改一熱點之一方法,換言之,熱點是否由於先前的修改所致已消失,或該基於模型的修改指南計算單元206算出圖案修改指南的次數已達到一特定值(步驟S108)。
若在步驟S108中已決定該圖案修改指南係空的,則剛剛之前在步驟S104中修改的設計佈局係設定為該修改的設計佈局並運送至該半導體裝置製造商(步驟S109)。
若在步驟S108中尚未決定該圖案修改指南係空的,則針對在步驟S107中算出的圖案修改指南一修改指南係重新算出並基於該指南修改該設計佈局(步驟S104)。修改該設計佈局之程序與擷取熱點並更新修改指南的程序係重複特定次數或直至熱點已消失,其實現程序熱點之數目的減少與風險的減低。
在執行於該基於模型的修改指南計算單元206上的基於模型的修改方法中,在如上所述針對該修改的結果產生光罩值資料之後,運行一程序模擬並評估該風險。若仍存在任何程序熱點,則依據一指定演算法重複該修改工作。因此,可自動最佳化該設計佈局。
為進行比較,將說明僅使用一基於規則的修改方法修改程序熱點之傳統設計佈局產生方法。圖3係幫助說明一傳 統設計佈局產生方法的流程圖。圖4顯示實現圖3所示之設計佈局產生方法之一自動設計佈局修改工具400的輸入輸出關係。
在圖3中,步驟S301至S304中的程序係與該第一具體實施例之步驟S101至S104中的該些程序相同。
明確地說,如圖4所示,將設計資料201、程序熱點資訊202、設計規則203及修改表204輸入至該自動設計佈局修改工具400。基於此等資訊片段,該自動設計佈局修改工具400算出一圖案修改指南(未顯示)(步驟S303)。
接著,基於所算出的圖案修改指南,該自動設計佈局修改工具400修改該設計佈局201並算出一修改的設計佈局(未顯示)(步驟S304)。
接下來,該自動設計佈局修改工具400使該修改的設計佈局經受光罩資料準備(MDP)、光學近接校正(OPC)、解析度增強技術(RET)處理及其他以產生光罩值資料並運行該光罩值資料之一程序模擬以產生形成於該晶圓上之一圖案的預測資料。此外,該自動設計佈局修改工具400針對該修改的設計佈局計算該晶圓上之預測圖案之一程序熱點的風險之一評估值(步驟S305)。
接著,該自動設計佈局修改工具400決定是否已從該修改的設計佈局擷取該評估值等於或小於一指定臨界值(或一程序熱點)之一區域(步驟S306)。
若在步驟S306中無程序熱點已被擷取,則運送該修改的設計佈局(步驟S307)。若已擷取一程序熱點,則該設計者 必須在進一步進行修改(包括修改設計資料、調整修改表及在規定該圖案修改指南之前與之後進行調整)(步驟S308)之後進一步從一適當步驟執行處理。
明確地說,在該基於規則的修改中,根據一圖案的形狀,程序熱點可能修改不足。若增加修改量以補償該不足,則可能發展一新的程序熱點。圖6A與6B顯示一晶圓轉錄圖案之空間寬度的修改量係如此小以致於不能確保一足夠的修改量。
在圖6A與6B中,藉由箭頭顯示程序熱點,其中該程序容限係如此小以致於該晶圓上易於發生一短路誤差。
在圖6A之範例中,因為基於具有一100 nm的設計圖案空間寬度之佈局的晶圓上之一預測圖案之空間寬度係65 nm,故藉由移動該右側邊緣10 nm以延伸該空間來進行一修改,其參考從該預測圖案之空間尺寸與該圖案佈局情況之表6所示的圖案修改表1。然而,當針對該修改的圖案估計該晶圓上的尺寸時,發現該空間之尺寸仍不足並存在不足的程序容限。
在圖6B中,一修改係進行,其參考從該晶圓上的預測圖案之空間尺寸與該圖案佈局情況之表6所示的圖案修改表 1。明確地說,因為一預測圖案之空間寬度係70 nm,故藉由移動該左側邊緣5 nm以延伸該空間5 nm來進行一修改。然而,當針對該修改的圖案估計該晶圓上的尺寸時,發現該空間之尺寸仍不足並存在不足的程序容限。
在此等圖案中,為允許一足夠的程序容限,基於預測要形成於該晶圓上的尺寸與該圖案佈局情況而決定的修改量係針對每一項增加5 nm,從而形成圖7所示之一圖案修改表2。當參考表7進行一修改時,發現一修改之點附近的圖案之開路容限減小並且一新的程序熱點發生於另一程序熱點中。
如上所述,在基於使用一修改表之基於規則的修改方法之傳統設計佈局產生方法中,當在修改之後仍存在一程序熱點時,進行一進一步修改並再次實施該等程序非常麻煩。此外,當該修改表遇到一未預期圖案佈局時,需要一試誤法,包括設計的改變與修改表的校正,從而導致要求大量時間的問題。
然而,在該第一具體實施例之設計佈局產生方法中,不能藉由該基於規則的修改方法修改之一程序熱點係藉由一自動修改模型(或該基於模型的修改方法)來修改。因為該 基於模型的修改方法可比該基於規則方法更快地自動修改不能藉由該基於規則的方法修改之一程序熱點,故可藉由屬於兩種修改方法之一組合的第一具體實施例之設計佈局產生方法來以具有一高準確度的一高速度修改該設計佈局。
可執行實現該自動設計佈局修改工具200之功能與該基於模型的修改指南計算單元206之功能之一程式的電腦、連接至該電腦的硬碟及藉由一儲存媒體(例如一記憶體)實現的程序熱點修改程式庫儲存單元205係藉由一單一電腦系統作為一整體實現。
該第一具體實施例之設計佈局產生方法尤其具有能夠於半導體裝置之製造中的程序容限係較小之一點處依據設計要求與程序要求以具有一高準確度的一高速度進行修改的優點。此外,適當設定用作風險指數之一評估值使得可能有效率地最佳化該設計佈局。此外,可藉由再循環該程序熱點修改程式庫(其中已記錄該修改指南與基於該指南修改的佈局之評估值或類似者之歷史),即藉由基於過去的修改歷史之資訊算出一圖案修改指南,來縮短最佳化該設計佈局所要求的時間。
因此,藉由能夠進行高速修改的第一具體實施例之設計佈局產生方法製造半導體裝置使得可能縮短產生設計佈局資料所需的轉迴時間並減低成本。同時,可以一高準確度修改設計佈局,其致能半導體裝置之產量係改良。
可藉由以下步驟製造一半導體裝置:在一處理的材料上 形成一光阻層;使用一光罩曝露該光阻層,在該光罩上一圖案係基於藉由一設計佈局產生方法產生之一設計佈局形成,該方法包括藉由一第一修改方法修改從一設計佈局擷取之一第一修改區域與藉由基於從該第二修改區域中之至少一部分設計佈局所計算之一圖案修改指南的一第二修改方法修改從該設計佈局擷取以包括該第一修改區域之一第二修改區域;藉由顯影該曝露的光阻層形成一光阻圖案;以及藉由將該光阻圖案用作該光罩之至少一部分圖案化該處理的材料。
(第二具體實施例)
將使用圖7至9、圖10A至10D及表8與9說明依據本發明之一第二具體實施例之一設計佈局產生方法。
在該第二具體實施例中,將關於藉由重複以下步驟修改一設計圖案之一方法提供一說明:針對從圖1之步驟S106中獲得的程序熱點資訊(或一程序熱點上之資訊與一評估值)作為一程序熱點擷取的一設計佈局之區域算出一圖案修改指南(步驟S107);實施步驟S108;修改該設計佈局(步驟S104);計算一評估值(步驟S105);擷取該程序熱點(步驟S106);以及再次算出一圖案修改指南(步驟S107)。
若於一特定點處步驟S105中計算的評估值不滿足一指定條件,即若因為該評估值係小於一指定臨界值該特定點處的風險係較高,則分配指示該特定點之座標之一誤差標記。即,將一誤差標記分配給在步驟S106中擷取的程序熱點。
在圖7中,該佈局中兩個程序熱點已發展。該等星形係顯示程序熱點的誤差標記。在該第二具體實施例中,每一誤差標記具有指示一短路誤差或一開路誤差之一類別與指示風險之一等級(評估值)作為屬性。
接下來,為修改藉由該等誤差標記指示的個別程序熱點,選擇視為促進一程序熱點之風險的邊緣。明確地說,例如,設定鄰域80與90(以藉由該等誤差標記顯示之座標為中心的相鄰區域)。與該等鄰域80與90重疊的邊緣係在一標記基礎上加以擷取並接著係分組。屬於每一誤差標記的邊緣上之資訊係以該誤差標記之屬性一起儲存於圖2所示的程序熱點修改程式庫儲存單元205中。在表8中,顯示此處儲存的資料之一範例。
圖8係圖7之一短路誤差點的放大圖。圖9係一開路誤差點的放大圖。
在圖8中,分別包括邊緣81、82的相鄰上部與下部圖案可能彼此接觸的短路誤差已於藉由一星形表示之一誤差標記所示的點處發生。為修改此點,在該誤差標記附近的邊緣81至86係擷取並在風險減小的方向上移動。該誤差標記附近的邊緣可以係與以該誤差標記為中心之一指定範圍中的鄰域80重疊的邊緣。其係編號並按優先順序使用。
在圖8之範例中,將最接近該誤差標記的邊緣81、82設定為高優先邊緣而將其餘邊緣83至86設定為正常優先邊緣。每次實施步驟S104至S108之常式時,藉由依據熱點之等級(評估值)移動該等高優先邊緣81、82之一或多個邊緣一特定單位使該空間更大。若決定不能再移動該等邊緣81、82或其移動由於周邊佈局情況或類似者所致而不足,或若決定藉由僅修改該等邊緣81、82該風險不能充分減小,從該等正常優先邊緣(或非最接近邊緣)83至86選擇一或多個邊緣並使其在該圖案重心從該標記分離之一方向上移動。若保持一層中之設計規則或若保持與一上部層之一設計規則及與一下部層之一設計規則,則該周邊佈局情況係處於一不可校正情況。例如,不能再移動邊緣以便保持對通孔的階梯覆蓋規則的情況。
在圖9中,圖案可能斷裂之一開路誤差已於藉由一星形表示之一誤差標記所示的點處發生。為修改此點,在該誤差標記附近的邊緣91至96係擷取並在風險減小的方向上移 動。該誤差標記附近的邊緣可以係與以該誤差標記為中心之一指定範圍中的鄰域90重疊的邊緣。其係編號並按優先順序使用。其可以更接近該誤差標記之圖案的順序優先化。
在圖9之範例中,將最接近該誤差標記的邊緣91、92設定為高優先邊緣而將其餘邊緣93至96設定為正常優先邊緣。每次實施步驟S104至S108之常式時,藉由依據熱點之等級(評估值)移動該等高優先邊緣91、92之一或多個邊緣一特定單位使該線寬度更大。若決定不能再移動該等邊緣91、92或其移動由於周邊佈局情況或類似者所致而不足,或若決定藉由僅修改該等邊緣91、92該風險不能充分減小,從該等正常優先邊緣(或非最接近邊緣)93至96選擇一或多個邊緣並使其在可加厚該程序熱點圖案之一方向上移動。然後,在該線寬度變得更大之一方向上移動該等高優先邊緣91、92。
該等邊緣的修改量與上述方向係基於每次修改該設計佈局(步驟S104)所計算的評估值(步驟S105)依據一指定演算法作為一圖案修改指南(步驟S107)而算出。
此外,如該第一具體實施例所說明,藉由一程序模擬、該等尺寸、邊緣放置誤差(EPE)的數目、聚焦與曝光方向之微影程序容限、使用其他層的覆蓋或此等之一組合預測之一圖案的誤差類別可以係用作表示風險之一評估值。
將使用圖10A與表9進一步詳細說明自動修改圖8中所示之短路誤差點處的設計圖案之一範例。
圖8中之程序熱點的類別係一短路誤差而顯示風險的等級(或評估值)係60。若該等級超過80,則決定不存在風險。此處,將構成一熱點附近之佈局的邊緣放在一起以形成群組1。為修改該群組,移動該等邊緣。
表9顯示藉由該等個別程序來移動發生表8中之短路誤差的屬於群組1之個別邊緣的數量與在該等程序的結果之後該群組1之等級或該短路誤差之等級連同該等程序之進展一起所發生的情況。邊緣之移動量的符號係使得該等邊緣從該誤差標記離開之方向為減號(-)而其接近該誤差標記之方向為加號(+)。下文中,將依據表9說明修改一設計圖案之一方法。
首先,在程序1中,依據算出的圖案修改指南(步驟S107)在邊緣如圖10B所示從該誤差標記後退的方向上將其 中一短路誤差已如圖10A所示發生之一圖案中的邊緣81、82移動5 nm(步驟S104)。因此,基於藉由使該修改的圖案經受光罩資料準備與程序模擬而獲得之預測資料所計算的點之等級(或評估值)係65(步驟S105),其減小風險。然而,該等級仍等於或小於80並因此不能說風險已充分減小。
在程序2中,當該邊緣81係在該邊緣從該誤差標記後退之方向上移動10 nm時,該誤差之等級係69。
在程序3中,當該邊緣82係進一步移動且該等邊緣81、82係在其從該誤差標記後退之方向上移動10 nm時,該風險之等級係75。
在程序4中,決定由於周邊佈局情況或類似者所致不能再移動該等邊緣81、82。接著,如圖10D所示,向左移動該等邊緣83、84以便使該圖案重心從該誤差標記分離。在此情況下,該邊緣83係在從該誤差標記分離之方向上移動5 nm而該邊緣84係在其接近該誤差標記之方向上移動5 nm。由於該修改,表示該風險的等級係80,其意味著不存在風險。因此,藉由該誤差標記指示的程序熱點消失。圖9所示的針對一開路誤差點之設計圖案亦係以與上述相同的程序中自動修改。
如在程序4中,當決定由於周邊佈局情況或類似者所致不能再實施原始修改方法時,當風險不能僅藉由原始修改方法充分減小(即,風險的減小係小於臨界值)時,或當數次迭代之後風險的減小係小於臨界值時,可考慮使用一修 改促進演算法。
如一範例,在自動修改其中一短路誤差已發生於該線端之一設計圖案中的修改當中藉由一修改促進演算法將差排添加至要修改的邊緣的方式係顯示於圖11A至11D中。
在圖11A的情況中,其中一短路誤差已發生於晶圓上之預測圖案中,向下移動一邊緣111以補償線的不足並還向下移動一邊緣112以加寬該空間,如圖11B所示。然而,因為仍未充分校正該短路誤差,故以使用一修改促進演算法將差排113、114添加至如圖11C與11D所示邊緣之一方式來修改該圖案。
此外,當於一熱點及其附近處不存在足夠的空間用於修改或即使在修改該熱點附近的邊緣之後風險仍未減小時,可考慮以下步驟:使要修改的邊緣之選擇範圍係大於相鄰區域,搜尋促成一熱點之一點,並修改該點。
在圖12A的範例中,可藉由加厚該誤差點附近的線圖案來修改藉由一星形表示之一誤差標記所示之一開路誤差。然而,因為在其附近不存在足夠的空間用於修改一熱點且在與另一層的位置關係上存在約束,故不能進行充分的修改。此外,由於要修改的層中圖式之位置上的限制或上部與下部層中圖式之位置上的約束所致,可能不能充分修改該等圖式。
如上所述,當不能藉由修改附近的圖案邊緣來減小風險時,藉由修改促進演算法來搜尋促成藉由一誤差標記所示之一開路誤差之發生的一點,從而放大要修改的邊緣之選 擇的範圍120,如圖12B所示。
在此範例中,由於一厚線121之一曝光影像的光學效應所致,一藉由誤差標記所示之線的中心變得更厚,從而引起一開路誤差。因此,從該熱點搜尋該特定範圍120中之一邊緣並如圖12C所示修改該線121之邊緣122(對該熱點具有影響之一圖案),其使得可能減小藉由該誤差標記所示之熱點的風險。
可在(例如)計算評估值(步驟S105)時將修改一設計圖案之方法(包括邊緣移動量與每一程序的方向)與修改的等級(或評估值)之資訊作為歷史資訊記錄並儲存於圖2之程序熱點修改程式庫儲存單元205中。因此,表9中所示的資訊係記錄為一程序熱點修改程式庫。
同時,在決定一修改點與修改量中,以上演算法(即,算出一圖案修改指南之演算法(步驟S107))可參考保持於該程序熱點修改程式庫儲存單元205中之歷史資訊。此使得可能在修改相同圖案之佈局中省略試誤法之程序並獲得最佳修改方法。
(第三具體實施例)
將使用表10說明依據本發明之一第三具體實施例之一設計佈局產生方法。
在該第三具體實施例中,在一熱點基礎上分組一程序熱點附近的邊緣並如該第二具體實施例中所說明逐個群組地(以一分組修改方法)修改熱點。使一組中的邊緣適用於針對作為一邊緣之群組的熱點附近之邊緣的校正。該熱點附 近之邊緣係分類為與熱點之風險相關的邊緣之群組並使此群組中的邊緣適用於校正。校正方向與量可以相同或可以彼此不同。在該設計佈局係藉由個別程序修改之後的評估值係作為如表10所示之歷史資訊記錄於儲存於該程序熱點修改程式庫儲存單元205中的該程序熱點修改程式庫中。
表10中所示的群組1至5係藉由在一程序熱點的基礎上分組熱點附近之邊緣而獲得。表10中所示之值表示每次依據算出的圖案修改指南(步驟S107)修改該佈局時在步驟S105中計算的風險(或評估值)之變更。當符號係+時,此意味著風險(或評估值)的減小。當符號係-時,此意味著風險(或評估值)的增加。
在群組1中,風險在程序1、程序2及程序3中係減小。在程序4中,風險消失(藉由OK顯示)。因此,在程序5及之後,無修改指南係針對該點而算出。
在群組2中,風險在程序1中增加。在程序2中,風險減 小10。在程序3中,風險係充分減小並消失。因此,在程序4及之後,無修改指南係針對該點而算出。
在群組3中,在程序1中風險係充分減小並消失。因此,在程序2及之後,無修改指南係針對該點而算出。
如上所述,藉由追蹤由於以群組為基礎之修改所致的風險之增加與減小,可在算出一修改指南中針對屬於各群組之各邊緣調整修改方向與修改量。此外,可藉由在風險減小之方向上共同移動一邊緣群組來進行一修改。
此外,例如,若複數個程序熱點已發展成彼此閉合或如圖13A所示以其彼此部分重疊之方式發展,則如圖13B所示分組之範圍係加寬而在複數個程序熱點彼此閉合之後的邊緣係共同處理。在此情況下,在監視對應於該複數個程序熱點之評估值同時,決定該群組中之邊緣的修改量。
此外,即使複數個程序熱點已發展成彼此閉合或以其彼此部分重疊之方式發展,仍可想到其間存在風險差異,如圖14A所示。在此情況下,一高風險程序熱點附近的邊緣可早於一低風險程序熱點附近的該些邊緣進行修改。
在該第三具體實施例中,同樣,藉由使用該程序熱點修改程式庫獲取作為佈局修改之結果的風險(或評估值)之歷史,一修改指南不針對風險已充分減小之一點進一步算出而僅針對風險尚未充分減小之一點算出,其致能減低計算量。
此外,可將針對每一群組的修改之前的邊緣佈局與當風險已由於修改所致消失時的修改歷史作為一程序熱點修改 程式庫儲存於該程序熱點修改程式庫儲存單元205中。此使得可能在修改相同圖案之佈局中省略試誤法之程序並獲得最佳修改方法。
因此,依據該等第一至第三具體實施例,一設計佈局產生方法能夠以具有一高準確度的一高速度修改一半導體積體電路之一設計圖案。
藉由將要藉由依據該等第一至第三具體實施例之一設計佈局產生方法在一電腦上執行之程式指令儲存於一電腦可讀取儲存媒體,可提供一電腦可讀取媒體。
在以上具體實施例之各具體實施例中,不能藉由該基於規則的修改方法修改之程序熱點已藉由使用基於一指定演算法之自動修改模型的修改方法加以修改。此外,不能藉由該基於規則的修改方法修改之程序熱點可藉由選自基於一佈局的各種修改方法之最佳者加以修改。
接下來,在一設計圖案之一自動程序熱點修改中,在一熱點基礎上分析該佈局,選擇複數個修改方法之最佳者,並藉由該最佳修改方法進行一修改。或者,擷取不能藉由一第一方法修改之點,分析相鄰佈局,選擇複數個修改方法之最佳者,並藉由該最佳修改方法進行一修改。將在本發明之一第四至一第七具體實施例中更詳細地說明此設計佈局產生方法。
(第四具體實施例)
即使藉由依據該等第一至第三具體實施例之設計佈局產生方法來使輸入設計資料經受一系列修改程序,仍可能不 能正確修改所有資料。明確地說,當使用一方法修改一程序熱點時,可能出於各種原因不能進行該修改,其取決於該熱點附近的圖案佈局。該等原因包括(例如)該線寬度/空間寬度的修改量係太大/小,由於設計規則的限制所致於一指定點處不能確保足夠的修改量,及保持階層結構防止修改的進行。
若該空間寬度的修改量係如此小以致於不能確保一足夠的修改量,則該程序容限係較小並且晶圓上易於發生一短路誤差之一程序熱點發展形成。表6所示之表(或圖案修改表)係從要在晶圓上形成之尺寸與圖案佈局情況來參考並且一修改係進行以藉由移動該右側邊緣10 nm來加寬該空間。然而,當針對該修改的圖案估計該晶圓上的尺寸時,發現該空間尺寸仍不足並存在不足的程序容限。
即使嘗試修改局部互連層中之一短路誤差,仍可能由於設計規則的限制所致而不能進行修改。
此外,即使嘗試修改中間互連層中之一開路誤差,仍可能由於針對相同層中的周圍圖式之設計規則的限制所致而不能進行修改。
此外,即使嘗試以不修改邊緣之模式來修改中間互連層中之一開路誤差,仍可能不能進行一修改。
如上所述,可能存在不能藉由一修改方法修改之一熱點。此問題包括各種原因而可使用各種最佳修改方法來克服該問題。
一傳統程序熱點修改係以(例如)以下程序來進行:首 先,將程序熱點資訊、設計規則、設計資料及修改表係輸入至該自動設計修改工具,其算出一圖案修改工具。接下來,修改該佈局,從而產生修改的設計資料。此時,連同修改的熱點之資訊一起輸出未修改的程序熱點之資訊。接著,其係與修改的設計資料一起運送。
使用者考慮該等未修改點之原因與對策並手動修改該佈局。或者,使用者調整修改指南、修改表及設計資料並再次實施該處理,其於一適當點處開始。若在修改之後仍存在程序熱點,則會花費大量時間來進行調整與再次實施該處理。
接下來,將使用圖15說明依據該第四具體實施例之一設計佈局產生方法。圖15顯示修改程序熱點之流程的一範例。在該第四具體實施例中,在該設計圖案之一自動程序熱點修改中在一熱點基礎上分析該佈局,選擇複數個修改方法之最佳者,並藉由該最佳方法進行一修改。或者,擷取不能藉由一第一方法修改之點,分析相鄰佈局,選擇複數個修改方法之最佳者,並藉由該最佳修改方法進行ㄧ修改。使用此方法,更多熱點係在一更短時間週期中進行修改並產生一高品質佈局。
首先,將程序熱點資訊、設計規則、設計資料及修改表係輸入至該自動設計修改工具,其算出一圖案修改工具(步驟S401)。接下來,進行一第一佈局修改(步驟S402)。接著,決定是否存在未修改熱點(步驟S403)與是否已指定所有該等修改方法(步驟S404)。若存在未修改點,則針對 該等未修改點之各點分析相鄰佈局(上下文)(步驟S405)並選擇最佳修改方法(步驟S406)。接著,在針對所有該等未修改點之各點選擇最佳修改方法之後,進行一第二佈局修改(步驟S407)。接著,運送修改的設計資料(步驟S408)。
在運送之前,可實施該等程序(包括光罩資料處理、OPC、RET及模擬)以確保未剩下程序熱點。
此外,如圖16所示,可針對所有該等未修改點之各點選擇一修改方法並可藉由該選定方法來修改該點。
此外,在擷取未修改點中,可使用一程序模擬器來擷取剩餘的熱點。
(第五具體實施例)
將使用圖17說明依據本發明之一第五具體實施例之一設計佈局產生方法。圖17顯示修改程序熱點的另一流程。將程序熱點資訊、設計規則、設計資料及修改表係輸入至該自動設計修改工具,在一熱點基礎上分析相鄰佈局(上下文)(步驟S501),並選擇最佳修改方法(步驟S502)。接著,決定是否已針對所有該等未修改點之各點指定最佳修改方法(S503)。在針對所有該等未修改點之各點選擇最佳修改方法之後,進行一佈局修改(步驟S504)。接著,運送修改的設計資料(步驟S505)。
在運送之前,可實施該等程序(包括光罩資料處理、OPC、RET及模擬)以確保未剩下程序熱點。
此外,如圖18之流程圖所示,可針對所有該等未修改點之各點選擇一修改方法並可藉由選定方法來進行一修改。
(第六具體實施例)
圖19A與19B顯示分析上下文、選擇最佳修改方法並藉由選定方法進行修改的情況。當在修改一互連層(陰影區域)中之熱點中將要修改的物件限制於一互連層時,儘管在圖19A之情況中兩個互連層之間已發生一短路誤差(★號),存在對該互連線與該多晶矽層之間的接觸孔層(著色背景上之一輪廓框)之位置的限制並因此不能進行修改。相反,在分析上下文與選擇一修改方法的步驟中,將一接觸孔層與一多晶矽層添加至要移動的層。因此,該熱點係如圖19B所示進行修改。即,藉由分析該上下文或該設計佈局,自動決定應修改何佈局層以有效移除一熱點。接著,決定一修改政策。在修改時,可在該決定之前與之後增加或減少要移動的圖案邊緣之數目(或可增加或減少要移動的層之數目)。
此外,圖20A與20B顯示分析上下文、選擇最佳修改方法並藉由選定方法進行修改的另一情況。當在修改一互連層(陰影區域)中之熱點中將要修改的物件限於熱點附近的圖式時,儘管在圖20A之情況下已於一線之一端處發生一開路誤差(★號),已使用符合最小設計規則之線寬度與空間寬度來設計一熱點所處的圖式與周圍圖式而不存在加厚該線寬度的空間,從而防止修改的進行。相反,在分析上下文與選擇一修改方法的步驟中,施加設計規則放寬規則,從而放寬針對線寬度與空間寬度之規則。因此,如圖20B所示,該熱點係藉由放寬要修改的圖案及其相鄰圖案 的線寬度與空間寬度加以修改。
此外,圖21A與21B顯示分析上下文、選擇最佳修改方法並藉由選定方法進行修改的另一情況。當在修改一互連層(陰影區域)中之熱點中進行不涉及以特定間隔分割該圖案邊緣之差排分割的修改時,儘管在圖21A之情況下已於一線之一端附近發生一開路誤差(★號),已使用符合最小設計規則之線寬度與空間寬度來設計一熱點所處的圖式與周圍圖式而不存在加厚該線寬度的空間,從而防止修改的進行。相反,在分析上下文與選擇一修改方法的步驟中,施加將差排添加至該圖案邊緣並在一差排基礎上進行修改之一添加差排的修改方法。因此,如圖21B所示,該熱點係藉由修改一熱點附近的要修改圖案之差排來修改。
(第七具體實施例)
圖22顯示分析上下文與選擇最佳修改方法的一範例。當一熱點處之一修改區域匹配一特定形狀(S1)時,進行一修改,其參考一資料庫(在一圖案匹配方法中),或進行一基於模型的修改(C1)。甚至當施加一不足的修改量(S2)時,進行一基於模型的修改(C2)。即,若校正量過小,則不能避免風險,但若校正量過大則將產生一副反應。例如,若使線寬度過寬以便校正一開路誤差,則其將以一副反應與之一接近圖案發生短路。若過多延伸一空間寬度以便校正一短路誤差,則一線以一副反應發生開路。若要修改的物件之邊緣長度係處於一指定範圍(S3),則進行一添加差排的修改(C3)。當已藉由該等最小設計規則來繪製一修改區 域或包括該修改區域之一區域(S4)時,增加要移動的層之數目。當在要修改的區域中藉由該等最小設計規則繪製的圖案之數目係等於或大於一指定範圍內之一指定數目(S5)時,放寬該圖案間隔(C5)。以此方式,針對該等熱點之各熱點選擇一上下文分析與一適合的修改方法,其致能幾乎所有該等熱點係修改。
使用依據該等第四至第七具體實施例之設計佈局產生方法,可在針對半導體裝置之製造的程序容限係較小之一點處以具有一高準確度的一高速度進行滿足設計要求與程序要求之一修改。此外,因為可將最佳修改方法施加至每一佈局,可改良修改速率,同時保持佈局的品質。因此,可縮短產生設計資料的轉迴時間,可減低成本,並可改良製造產量。
當使用依據該等第四至第七具體實施例之設計佈局產生方法來製造一半導體裝置時,一光阻層係形成於一處理的材料上。使用藉由該設計佈局產生方法形成之一光罩來曝露該光阻層。接著,使該曝露的光阻顯影,從而形成一光阻圖案。將該光阻圖案用作該光罩之至少一部分,圖案化該處理的材料。此藉由依據該等第四至第七具體實施例的設計佈局產生方法提供製造半導體積體電路裝置之一半導體裝置製造方法。
此外,還可藉由在依據該等第四至第七具體實施例之設計佈局產生方法中將要在一電腦上執行的程式指令儲存於一電腦可讀取儲存媒體中來提供一電腦可讀取媒體。
熟習此項技術者可容易地發現額外的優點及修改。因此,本發明的更廣泛態樣並不限於本文所顯示與說明的特定細節及代表具體實施例。因此,可進行各種修改而不脫離如隨附申請專利範圍及其等效範圍所定義的一般發明概念之精神或範疇。
80‧‧‧鄰域
81‧‧‧邊緣
82‧‧‧邊緣
83‧‧‧邊緣
84‧‧‧邊緣
85‧‧‧邊緣
86‧‧‧邊緣
90‧‧‧鄰域
91‧‧‧邊緣
92‧‧‧邊緣
93‧‧‧邊緣
94‧‧‧邊緣
95‧‧‧邊緣
96‧‧‧邊緣
111‧‧‧邊緣
112‧‧‧邊緣
113‧‧‧差排
114‧‧‧差排
120‧‧‧範圍
121‧‧‧線
122‧‧‧邊緣
200‧‧‧自動設計佈局修改工具
201‧‧‧設計佈局
202‧‧‧程序熱點資訊
203‧‧‧設計規則
204‧‧‧修改表
205‧‧‧程序熱點修改程式庫儲存單元
206‧‧‧基於模型的修改指南計算單元
207‧‧‧修改的設計資料
400‧‧‧自動設計佈局修改工具
407‧‧‧修改的設計資料
圖1係幫助說明依據本發明之一第一具體實施例之一設計佈局產生方法的流程圖;圖2係顯示實現依據該第一具體實施例之設計佈局產生方法的一自動設計佈局修改工具及其周邊電路之組態的示意圖;圖3係幫助說明一傳統設計佈局產生方法的流程圖;圖4係顯示用於實現一傳統設計佈局產生方法之一自動設計佈局修改工具的示意圖;圖5A係幫助說明與針對一線中之一開路誤差的評估值之關係的圖案平面圖;圖5B係幫助說明與針對一空間中之一短路誤差的評估值之關係的圖案平面圖;圖5C係幫助說明與針對一線端短路誤差的評估值之關係的圖案平面圖;圖6A與6B係幫助說明在一基於規則的修改中不能確保一足夠的修改量之範例的圖案平面圖;圖7係幫助說明依據本發明之一第二具體實施例之一設計佈局產生方法的圖案平面圖,其顯示程序熱點已發展之 一設計佈局;圖8係圖7中一短路誤差點的放大圖案平面圖;圖9係圖7中一開路誤差點的放大圖案平面圖;圖10A至10D係幫助說明依據該第二具體實施例之一設計佈局產生方法的圖案平面圖,其顯示圖8所示之一短路誤差點的自動設計修改之一範例;圖11A至11D係幫助說明依據該第二具體實施例之另一設計佈局產生方法的圖案平面圖;圖12A至12C係幫助說明依據該第二具體實施例之另一設計佈局產生方法的圖案平面圖;圖13A與13B係幫助說明依據本發明之一第三具體實施例之一設計佈局產生方法的示意圖;圖14A與14B係幫助說明依據該第三具體實施例之另ㄧ設計佈局產生方法的示意圖;圖15係幫助說明依據本發明之一第四具體實施例之一設計佈局產生方法的流程圖,其顯示修改程序熱點之流程之一範例;圖16係幫助說明依據該第四具體實施例之另一設計佈局產生方法的流程圖,其顯示修改程序熱點之流程之一範例;圖17係幫助說明依據本發明之一第五具體實施例之一設計佈局產生方法的流程圖,其顯示修改程序熱點之流程之一範例;圖18係幫助說明依據該第五具體實施例之另一設計佈局 產生方法的流程圖,其顯示修改程序熱點之流程之另一範例;圖19A與19B係顯示分析上下文、選擇一適當修改方法及進行一修改之範例的圖案平面圖;圖20A與20B係顯示分析上下文、選擇一適當修改方法及進行一修改之其他範例的圖案平面圖;圖21A與21B係顯示分析上下文、選擇一適當修改方法及進行一修改之其他範例的圖案平面圖;以及圖22係幫助說明分析上下文與選擇一適當修改方法之一範例的流程圖。
(無元件符號說明)

Claims (20)

  1. 一種設計佈局產生方法,其包含:藉由一第一修改方法修改用於半導體裝置之第一設計圖案,其係包含於從一設計佈局擷取之一第一修改區域;及藉由基於從一第二修改區域中之至少一部分設計佈局所計算之一圖案修改指南的一第二修改方法修改用於半導體裝置之第二設計圖案,其係包含於從該設計佈局擷取之該第二修改區域,使得該第二修改區域包含該第一修改區域。
  2. 如請求項1之設計佈局產生方法,其中藉由一指定演算法計算該圖案修改指南。
  3. 如請求項1之設計佈局產生方法,其中該第一修改方法係藉由參考其中已針對設計佈局決定一修改指南之一規則表來進行一修改之一方法。
  4. 如請求項1之設計佈局產生方法,其中該第二修改方法包括一基於模型的修改方法、一分組修改方法、一圖案匹配修改方法、一差排分割修改方法、一圖案間隔放寬修改方法、一涉及要移動的層之數量增加與減少的修改方法及一設計規則放寬修改方法之任一者。
  5. 如請求項1之設計佈局產生方法,其中,當從一設計佈局擷取之兩個第二修改區域彼此靠近或其部分彼此重疊時,將該兩個第二修改區域一起放置於一單一第二修改區域內。
  6. 如請求項1之設計佈局產生方法,其中該等第一與第二修改方法係經組態以使得在依據藉由該第一修改方法修改之一設計佈局形成於一晶圓上之一圖案的基礎上所計算之一評估值係高於在依據藉由該第一修改方法的修改之前之一設計佈局形成於該晶圓上之一圖案的基礎上所計算之一評估值並使得在依據藉由該第二修改方法修改之一設計佈局形成於該晶圓上之一圖案的基礎上所計算之一評估值係高於在依據藉由該第二修改方法的修改之前之一設計佈局形成於該晶圓上之一圖案的基礎上所計算之一評估值。
  7. 如請求項6之設計佈局產生方法,其中,若依據藉由該第二修改方法修改之該設計佈局形成於該晶圓上之該圖案不滿足一指定評估值,則進一步修改藉由該第二修改方法修改之該設計佈局。
  8. 如請求項7之設計佈局產生方法,其中,當進一步修改藉由該第二修改方法修改之該設計佈局時,基於參考用於第二修改之該圖案修改指南重新算出之一圖案修改指南來修改該設計佈局。
  9. 如請求項1之設計佈局產生方法,其中該第一修改區域包括一設計佈局區域,其中基於依據該設計佈局形成於晶圓上之一圖案所計算之一評估值不滿足該設計佈局中之一指定值,以及該第二修改區域包括一區域,其中基於依據藉由該第一修改方法修改之該設計佈局形成於該晶圓上之一圖案 所計算之一評估值不滿足藉由該第一修改方法修改之該設計佈局中之一指定值。
  10. 如請求項9之設計佈局產生方法,其中基於形成於該晶圓上的該圖案之一程序容限來計算該設計佈局之該評估值。
  11. 如請求項9之設計佈局產生方法,其中以增加位於該第二修改區域之其設計佈局的該等評估值之順序來修改從該設計佈局擷取的兩個或更多第二修改區域。
  12. 一種半導體裝置製造方法,其包含:在一處理的材料上形成一光阻層;使用一光罩曝露該光阻層;藉由顯影該曝露的光阻層來形成一光阻圖案;及藉由將該光阻圖案用作該光罩之至少一部分來圖案化該處理的材料,該光罩具有基於藉由一設計佈局產生方法產生之一設計佈局形成於其上之一圖案,該設計佈局產生方法包括:藉由一第一修改方法修改用於半導體裝置之第一設計圖案,其係包含於從一設計佈局擷取之一第一修改區域;及藉由基於從一第二修改區域中之至少一部分設計佈局所計算之一圖案修改指南的一第二修改方法修改用於半導體裝置之第二設計圖案,其係包含於從該設計佈局擷取之該第二修改區域,使得該第二修改區域包含該第一修改區域。
  13. 如請求項12之半導體裝置製造方法,其中藉由一指定演算法計算該圖案修改指南。
  14. 如請求項12之半導體裝置製造方法,其中該第一修改方法係藉由參考其中已針對設計佈局決定一修改指南之一規則表來進行一修改之一方法。
  15. 如請求項12之半導體裝置製造方法,其中該第二修改方法包括一基於模型的修改方法、一分組修改方法、一圖案匹配修改方法、一差排分割修改方法、一圖案間隔放寬修改方法、一涉及要移動的層之數量增加與減少的修改方法及一設計規則放寬修改方法之任一者。
  16. 如請求項12之半導體裝置製造方法,其中,當從一設計佈局擷取之兩個第二修改區域彼此靠近或其部分彼此重疊時,將該兩個第二修改區域一起放置於一單一第二修改區域內。
  17. 如請求項12之半導體裝置製造方法,其中該等第一與第二修改方法係經組態以使得在依據藉由該第一修改方法修改之一設計佈局形成於一晶圓上之一圖案的基礎上所計算之一評估值係高於在依據藉由該第一修改方法的修改之前之一設計佈局形成於該晶圓上之一圖案的基礎上所計算之一評估值並使得在依據藉由該第二修改方法修改之一設計佈局形成於該晶圓上之一圖案的基礎上所計算之一評估值係高於在依據藉由該第二修改方法的修改之前之一設計佈局形成於該晶圓上之一圖案的基礎上所計算之一評估值。
  18. 如請求項12之半導體裝置製造方法,其中,若依據藉由該第二修改方法修改之該設計佈局形成於該晶圓上之該 圖案不滿足一指定評估值,則進一步修改藉由該第二修改方法修改之該設計佈局。
  19. 如請求項12之半導體裝置製造方法,其中,當進一步修改藉由該第二修改方法修改之該設計佈局時,基於參考用於該第二修改之該圖案修改指南重新算出之一圖案修改指南來修改該設計佈局。
  20. 一種電腦可讀取媒體,其係經組態用以儲存用於在一電腦上執行之程式指令,該等程式指令使該電腦執行:藉由一第一修改方法修改用於半導體裝置之第一設計圖案,其係包含於從一設計佈局擷取之一第一修改區域;以及藉由基於從一第二修改區域中之至少一部分設計佈局所計算之一圖案修改指南的一第二修改方法修改用於半導體裝置之第二設計圖案,其係包含於從該設計佈局擷取之該第二修改區域,使得該第二修改區域包含該第一修改區域。
TW096139312A 2006-10-20 2007-10-19 半導體積體電路設計佈局產生方法、半導體裝置製造方法、及電腦可讀取媒體 TWI401581B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006286919 2006-10-20

Publications (2)

Publication Number Publication Date
TW200836082A TW200836082A (en) 2008-09-01
TWI401581B true TWI401581B (zh) 2013-07-11

Family

ID=39319521

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096139312A TWI401581B (zh) 2006-10-20 2007-10-19 半導體積體電路設計佈局產生方法、半導體裝置製造方法、及電腦可讀取媒體

Country Status (2)

Country Link
US (1) US8230379B2 (zh)
TW (1) TWI401581B (zh)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20100205573A1 (en) * 2007-07-06 2010-08-12 Sagantiec Israel Ltd. Layout modification engine for modifying a circuit layout comprising fixed and free layout entities
JP4922094B2 (ja) * 2007-07-26 2012-04-25 株式会社東芝 パターンデータ作成方法
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8566754B2 (en) * 2008-04-24 2013-10-22 Synopsys, Inc. Dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
KR101749351B1 (ko) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US7954071B2 (en) * 2008-10-31 2011-05-31 Synopsys, Inc. Assist feature placement based on a focus-sensitive cost-covariance field
JP4908557B2 (ja) * 2009-08-21 2012-04-04 株式会社東芝 パターン判定方法
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
WO2011051791A2 (en) * 2009-10-30 2011-05-05 Synopsys, Inc. Method and system for lithography hotspot correction of a post-route layout
TWI456422B (zh) * 2009-12-30 2014-10-11 Synopsys Inc 後繞線佈局之微影熱點之更正方法及系統
US8495527B2 (en) * 2010-10-28 2013-07-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8429570B2 (en) 2010-10-28 2013-04-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
JP5606369B2 (ja) * 2011-03-23 2014-10-15 株式会社東芝 パターン修正方法および半導体装置の製造方法
US8869077B1 (en) * 2013-05-23 2014-10-21 Globalfoundries Inc. Selection of replacement patterns for reducing manufacturing hotspots and constraint violations of IC designs
US20150112649A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Clustering Lithographic Hotspots Based on Frequency Domain Encoding
US9436792B2 (en) 2014-08-22 2016-09-06 Samsung Electronics Co., Ltd. Method of designing layout of integrated circuit and method of manufacturing integrated circuit
US9171127B1 (en) 2014-10-08 2015-10-27 United Microelectronics Corp. Layout generating method
US20160154922A1 (en) * 2014-12-01 2016-06-02 Globalfoundries Inc. Optical proximity correction taking into account wafer topography
US9946830B2 (en) * 2016-06-01 2018-04-17 International Business Machines Corporation Area sharing between multiple large block synthesis (LBS) blocks
CN107797375B (zh) 2016-08-31 2020-11-03 中芯国际集成电路制造(上海)有限公司 目标图形的修正方法
CN113168086A (zh) * 2021-03-19 2021-07-23 长江存储科技有限责任公司 用于设计光掩模的***和方法
US11741278B2 (en) * 2022-01-03 2023-08-29 International Business Machines Corporation Context projection and wire editing in augmented media

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002006475A (ja) * 2000-06-22 2002-01-09 Toshiba Corp マスクパターン設計方法及びその方法により形成されるマスク
US6456738B1 (en) * 1998-07-16 2002-09-24 Ricoh Company, Ltd. Method of and system for extracting predetermined elements from input document based upon model which is adaptively modified according to variable amount in the input document
JP2002543471A (ja) * 1999-04-30 2002-12-17 メンター・グラフィクス・コーポレーション エッジ・フラグメントのタグ付けを使用してエッジ配置歪みを補正するサブミクロンic設計のための改善された方法および装置
JP2003167323A (ja) * 2001-12-04 2003-06-13 Toshiba Corp 露光用マスクパターンの補正方法、プログラム、マスクパターン形成方法、及び半導体装置の製造方法
JP2003525470A (ja) * 2000-02-28 2003-08-26 ニューメリカル テクノロジーズ インコーポレイテッド 混合モード光近接効果補正のための方法及び装置
JP2003322945A (ja) * 2002-05-01 2003-11-14 Mitsubishi Electric Corp レイアウトパターンデータの補正装置
JP2004030579A (ja) * 2002-03-12 2004-01-29 Toshiba Corp デザインルールおよびプロセスパラメータの少なくとも一方を決定する方法、この決定方法を用いた半導体集積回路装置の製造方法、並びに、デザインルールおよびプロセスパラメータの少なくとも一方を決定するシステム
JP2005148176A (ja) * 2003-11-12 2005-06-09 Matsushita Electric Ind Co Ltd 近接効果補正方法及び近接効果補正装置
US20050134866A1 (en) * 2003-12-18 2005-06-23 Suigen Kyoh Pattern-producing method for semiconductor device
JP2005181524A (ja) * 2003-12-17 2005-07-07 Toshiba Corp 設計レイアウト作成方法、設計レイアウト作成システム、マスクの製造方法、半導体装置の製造方法、及び設計レイアウト作成プログラム
JP2006023649A (ja) * 2004-07-09 2006-01-26 Toshiba Corp 半導体集積回路パターンの検証方法、フォトマスクの作成方法、半導体集積回路装置の製造方法、及び半導体集積回路パターンの検証方法を実現するためのプログラム
JP2006053248A (ja) * 2004-08-10 2006-02-23 Toshiba Corp 設計パターンデータ作成方法、マスクパターンデータ作成方法、マスク製造方法、半導体装置の方法およびプログラム
JP2007256305A (ja) * 2006-03-20 2007-10-04 Fujitsu Ltd 回路パターンデータ補正方法及び半導体装置の製造方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7124386B2 (en) * 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
JP4643401B2 (ja) * 2005-09-07 2011-03-02 株式会社東芝 テストパターン作成方法、テストパターン作成プログラム、マスク作製方法、及び半導体装置製造方法

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6456738B1 (en) * 1998-07-16 2002-09-24 Ricoh Company, Ltd. Method of and system for extracting predetermined elements from input document based upon model which is adaptively modified according to variable amount in the input document
JP2002543471A (ja) * 1999-04-30 2002-12-17 メンター・グラフィクス・コーポレーション エッジ・フラグメントのタグ付けを使用してエッジ配置歪みを補正するサブミクロンic設計のための改善された方法および装置
JP2003525470A (ja) * 2000-02-28 2003-08-26 ニューメリカル テクノロジーズ インコーポレイテッド 混合モード光近接効果補正のための方法及び装置
JP2002006475A (ja) * 2000-06-22 2002-01-09 Toshiba Corp マスクパターン設計方法及びその方法により形成されるマスク
JP2003167323A (ja) * 2001-12-04 2003-06-13 Toshiba Corp 露光用マスクパターンの補正方法、プログラム、マスクパターン形成方法、及び半導体装置の製造方法
JP2004030579A (ja) * 2002-03-12 2004-01-29 Toshiba Corp デザインルールおよびプロセスパラメータの少なくとも一方を決定する方法、この決定方法を用いた半導体集積回路装置の製造方法、並びに、デザインルールおよびプロセスパラメータの少なくとも一方を決定するシステム
JP2003322945A (ja) * 2002-05-01 2003-11-14 Mitsubishi Electric Corp レイアウトパターンデータの補正装置
JP2005148176A (ja) * 2003-11-12 2005-06-09 Matsushita Electric Ind Co Ltd 近接効果補正方法及び近接効果補正装置
JP2005181524A (ja) * 2003-12-17 2005-07-07 Toshiba Corp 設計レイアウト作成方法、設計レイアウト作成システム、マスクの製造方法、半導体装置の製造方法、及び設計レイアウト作成プログラム
US20050134866A1 (en) * 2003-12-18 2005-06-23 Suigen Kyoh Pattern-producing method for semiconductor device
JP2005181612A (ja) * 2003-12-18 2005-07-07 Toshiba Corp パターン作成方法、マスクの製造方法、半導体装置の製造方法及びプログラム
JP2006023649A (ja) * 2004-07-09 2006-01-26 Toshiba Corp 半導体集積回路パターンの検証方法、フォトマスクの作成方法、半導体集積回路装置の製造方法、及び半導体集積回路パターンの検証方法を実現するためのプログラム
JP2006053248A (ja) * 2004-08-10 2006-02-23 Toshiba Corp 設計パターンデータ作成方法、マスクパターンデータ作成方法、マスク製造方法、半導体装置の方法およびプログラム
JP2007256305A (ja) * 2006-03-20 2007-10-04 Fujitsu Ltd 回路パターンデータ補正方法及び半導体装置の製造方法

Also Published As

Publication number Publication date
US20080098341A1 (en) 2008-04-24
US8230379B2 (en) 2012-07-24
TW200836082A (en) 2008-09-01

Similar Documents

Publication Publication Date Title
TWI401581B (zh) 半導體積體電路設計佈局產生方法、半導體裝置製造方法、及電腦可讀取媒體
JP4956365B2 (ja) 設計レイアウト作成方法、半導体装置の製造方法、及びコンピュータ読み取り可能な媒体
KR100962859B1 (ko) 집적 회로의 선택적 스케일링
US8103977B2 (en) Semiconductor device and its manufacturing method, semiconductor manufacturing mask, and optical proximity processing method
CN111048505B (zh) 半导体器件及其制造方法和***
US7814456B2 (en) Method and system for topography-aware reticle enhancement
US7966584B2 (en) Pattern-producing method for semiconductor device
KR101645633B1 (ko) 집적 회로의 레이아웃을 생성하기 위한 방법, 컴퓨터 시스템 및 컴퓨터-판독가능 저장 매체
JP4922094B2 (ja) パターンデータ作成方法
US20070162887A1 (en) Method of fabricating photo mask
TWI512515B (zh) 半導體元件之影像圖案的優化方法
CN1910516A (zh) 用于检测标线设计数据中的缺陷的计算机实现方法
TW202018408A (zh) 基於單元間關聯性的布局改善方法及系統
US8234596B2 (en) Pattern data creating method, pattern data creating program, and semiconductor device manufacturing method
US20150067619A1 (en) Advanced correction method
US11741288B2 (en) Routing-resource-improving method of generating layout diagram, system for same and semiconductor device
JP4714854B2 (ja) マスクパターン設計方法、マスクパターン設計装置および半導体装置の製造方法
JP2013073139A (ja) マスクレイアウト分割方法、マスクレイアウト分割装置、及びマスクレイアウト分割プログラム
US20070168895A1 (en) Automatic design method of semiconductor integrated circuit, automatic design system of semiconductor integrated circuit, and semiconductor integrated circuit
US20120198396A1 (en) Method of optimizing semiconductor device manufacturing process, method of manufacturing semiconductor device, and non-transitory computer readable medium
JP2009020393A (ja) マスクパターン形成方法
CN106033171A (zh) 用于晶圆上坏点的失效分析的方法
CN110968981B (zh) 集成电路布局图生成方法和***
JP4883591B2 (ja) マスクパターン設計方法および半導体装置の製造方法
JP2008020751A (ja) マスクパターン設計方法およびそれを用いた半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees