TWI397948B - 製造厚導線結構之雙鑲嵌製程 - Google Patents

製造厚導線結構之雙鑲嵌製程 Download PDF

Info

Publication number
TWI397948B
TWI397948B TW096101395A TW96101395A TWI397948B TW I397948 B TWI397948 B TW I397948B TW 096101395 A TW096101395 A TW 096101395A TW 96101395 A TW96101395 A TW 96101395A TW I397948 B TWI397948 B TW I397948B
Authority
TW
Taiwan
Prior art keywords
metal
layer
etch stop
mim
stop layer
Prior art date
Application number
TW096101395A
Other languages
English (en)
Other versions
TW200809923A (en
Inventor
Douglas D Coolbaugh
Keith E Downes
Peter J Lindgren
Anthony K Stamper
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200809923A publication Critical patent/TW200809923A/zh
Application granted granted Critical
Publication of TWI397948B publication Critical patent/TWI397948B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

製造厚導線結構之雙鑲嵌製程
本發明關於一種半導體裝置與製造方法,更別的是關於一具有類比或超厚導線之半導體裝置,與使用雙鑲嵌(dual-damascene)製程之製造方法。
超厚鑲嵌銅(Cu)線(例:厚度>2 μm)目前由單鑲嵌製程所製造,單鑲嵌製程的使用主要由於關於雙鑲嵌製程的整合問題,包含於蝕刻製程期間,金屬-絕緣層-金屬(MIM)電容與該介層與下方導線層接觸的問題。
在超厚導線雙鑲嵌銅導線製程中,介層與溝槽係以一般的微影技術所定義。在這些常見的製程中,介層約高5.5 μm、寬約1.5 μm。在形成介層之後,該介層填塞旋塗有機材料,例如:抗反射塗層(ARC),到下方之銅導線層Mx,以進行溝渠微影步驟。
然而,發現第二雙鑲嵌蝕刻製程在超厚鑲嵌銅導線製程中是很難被實現的。經由先介層後溝槽的製程範例可發現,抗反射塗層(ARC)形成一沙漏於介層洞中,依次造成空隙於該介層中。更特別的是,沒有任何一工業標準的中紫外線(MUV)或深紫外(DUV)抗反射塗層可以達到高於40%之填塞,它們都會在介層中造成很多空隙,而於溝槽蝕刻期間被打開。並且,由於這些空隙,使得蝕刻劑蝕刻穿透該空隙,引起隨後的蝕刻侵入下方金屬層。
例如,若抗反射塗層(ARC)很厚時,於介層之間會有較好的填充特性,不過,其他問題在隨後的蝕刻製程期間會產生。例如,可接受的介層填充可利用一0.8μm層所達成,但使得溝槽乾式反應性離子蝕刻(RIE)嚴重地複雜化,係由於需要非常長的抗反射塗層(ARC)開口步驟,且由於在反應性離子蝕刻(RIE)期間,有柵欄(fences)或軌(rails)產生於介層周圍。更特別的是,在反應性離子蝕刻(RIE)期間利用較厚的抗反射塗層(ARC)填充,在反應性離子蝕刻(RIE)製程初期,柵欄被形成於該介層之側邊。如此導致優先沿著介層邊緣向下蝕刻到下方金屬(Mx)層。因此,發現當抗反射塗層(ARC)約0.8μm會有光阻侵蝕、大量柵欄、以及溝槽反應性離子蝕刻(RIE)問題。
本發明關於克服一個或多個以上提出之問題。
在本發明第一方面,一方法包含蝕刻至少一部分介層於一堆疊結構與形成一邊界於至少一部分介層附近,此方法更包含當繼續介層蝕刻到至少一蝕刻停止層時,利用選擇性蝕刻實施厚導線。
在實施例中,實施步驟為雙鑲嵌製程的一部分,邊界的形成包含形成一負光阻於堆疊結構上,且對距離至少一部分介層較遠之部分負光阻曝光。該至少一蝕刻停止層包含一第一蝕刻停止層於Mx-1金屬層上,與一第二蝕刻停止層於一金屬-絕緣層-金屬(MIM)電容上。位於金屬-絕緣層-金屬(MIM)電容上之蝕刻停止層被形成厚於位於Mx-1金屬層上之蝕刻停止層。
本發明方法更包含加上一金屬-絕緣層-金屬(MIM)電容至堆疊結構,且厚導線延伸至金屬-絕緣層-金屬(MIM)電容上之至少一蝕刻停止層。該堆疊結構的形成包含提供一鑲嵌銅導線形成於一第一低介電常數介電材料與形成一蝕刻停止層於該第一低介電常數介電材料。一層間介電層與第二介電層形成於該蝕刻停止層上。一第二蝕刻停止層形成於第二低介電常數介電層上,且一第三低介電常數介電層形成於該蝕刻停止層上。
金屬-絕緣層-金屬(MIM)電容包含耐火金屬或包含鎢(W)、氮化鎢(WN)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、氮化鈦矽(TiSiN)之至少其一的合金。至少一第一與第二低介電常數介電層與第二介電層之至少其一為摻雜氟之矽酸鹽玻璃(FSG)。蝕刻停止層與第二蝕刻停止層至少具有氮化矽、碳氮化矽、碳氮化矽氧與碳化矽之至少其一。金屬-絕緣層-金屬(MIM)電容嵌入帶間介電層,該金屬-絕緣層-金屬(MIM)電容為具有氮化矽、碳氮化矽、碳氮化矽氧與碳化矽蝕刻停止層至少其中之一之複數個板。
蝕刻至少一部分介層包含部分蝕刻該堆疊結構,對準至少一下方金屬層與金屬-絕緣層-金屬(MIM)電容。該實施步驟包含於形成該至少一部分介層後,沉積負光阻於堆疊結構上,對負光阻曝光,於遠離該至少一部分介層以形成邊界,蝕刻至少一部分介層更深入該堆疊結構中,以及選擇性蝕刻以形成至少一溝槽。該選擇性蝕刻以形成至少一溝槽,係對於沉積於一下方金屬層與金屬-絕緣層-金屬(MIM)電容至少其一上之該至少一蝕刻停止層是有選擇性的。
在實施例中,此發明步驟使用於製造積體電路晶片中。本發明步驟為一鑲嵌銅後段製程(BEOL),在其中之銅層被定義為一導線與具有一厚度約3.5微米或更厚之介層高度。當繼續介層蝕刻到至少一蝕刻停止層時,利用選擇性蝕刻以實施厚導線包含:在介層蝕刻延伸到至少一蝕刻停止層之前,延伸至一上嵌式蝕刻層之溝槽蝕刻。
在本發明另一方面,本發明方法關於製造一雙鑲嵌銅後段製程(BEOL)結構。此方法包含形成一部分高度介層對準至少一下方金屬層,與塗上一負光阻材料。本方法更包含形成一邊界於接近該部分高度介層之負光阻材料,並蝕刻該部分高度介層至一更大深度,且利用選擇性蝕刻形成一溝槽。本方法更包含將一金屬-絕緣體-金屬電容加到該後段製程(BEOL)結構中。
在實施例中,蝕刻步驟包含蝕刻至少一蝕刻停止層於一金屬層與該金屬-絕緣體-金屬電容。本方法更包含提供該下方金屬形成於一第介電常數介電材料中,形成一蝕刻停止層於該第一低介常數介電材料上,形成一氧化物層與層間介電層於該蝕刻停止層上,嵌入該金屬-絕緣層-金屬電容於該氧化矽層,形成一帽蓋層於金屬-絕緣層-金屬電容,形成一第二蝕刻停止層於該層間介電層上,以及形成一第三低介電常數介電層於該蝕刻停止層上。
蝕刻停止層與第二蝕刻停止層為氮化矽、碳氮化矽、碳氮化矽氧與碳化矽蝕刻停止層至少其中之一。金屬-絕緣體-金屬電容為複數個板,且具有氮化矽、碳氮化矽、碳氮化矽氧與碳化矽蝕刻停止層至少其中之一。蝕刻該部分高度介層至更大深度,且利用選擇性蝕刻以形成一溝渠,包含在介層延伸到該至少一蝕刻停止層前,蝕刻該溝渠至一上嵌式蝕刻層。形成邊界之步驟包含對負光阻離該部分高度介層較遠的部分曝光。蝕刻一溝槽包含選擇性蝕刻到位於金屬-絕緣層-金屬(MIM)電容上之一帽蓋層與一下方金屬層之至少其一。蝕刻部分高度介層至一更大深度,且選擇性以形成一溝槽,係對準該下方金屬層與該金屬-絕緣層-金屬(MIM)電容至少其中之一。
在本發明另一方面,一種製造一厚導線之雙鑲嵌方法包含:形成一部分介層於一堆疊結構,與在形成該部分介層之後,沉積負光阻於該堆疊結構上。本方法更包含對負光阻曝光,離該部分介層較遠以形成一邊界於該部分介層之上。蝕刻該部分介層更深入於該堆疊結構中。本方法更包含選擇性蝕刻該部分介層以形成一溝槽。選擇性蝕刻對於沉積於一下方金屬層之至少一蝕刻停止層之至少其一是有選擇性的。金屬-絕緣層-金屬(MIM)具有至少一上板、一MIM介電層、以及一下板。
在實施例中,厚導線結構具有約3.5微米或更大之厚度。這些步驟是設計用於製造積體電路晶片。MIM電容是藉以下形成:少於10奈米氧化物等效濺鍍移除的一金屬-絕緣層-金屬(MIM)上板之濺鍍清潔移除,使得介層未完全蝕刻穿透上板,且未接觸MIM介電層。該至少一蝕刻停止層為一第一蝕刻停止層與一第二蝕刻停止層。第一蝕刻停止層形成於下方金屬層之上,而第二蝕刻停止層形成於金屬-絕緣層-金屬(MIM)電容之一表面,且被形成具有高於第一蝕停止層之高度。
本發明另一方面,一厚導線結構包含一鑲嵌銅導線形成於一第一介電層,且一蝕刻停止層覆蓋該鑲嵌銅導線。一第二介電層於蝕刻停止層上。一第二蝕刻停止層形成於第二介電層上,而一第三介電層形成於蝕刻停止層上。一介層約1.5微米或更高,形成穿透第一、第二與第三介電層,且接觸鑲嵌銅導線。一溝槽約2微米或更高,形成接近於第二蝕刻停止層,且實質軸向對準於介層,並具有大於介層之寬度。一金屬-絕緣層-金屬(MIM)電容嵌於氧化層,一蝕刻停止層形成於金屬-絕緣層-金屬(MIM)電容之上。介層對準且延伸至形成於金屬-絕緣層-金屬(MIM)電容上之蝕刻停止層,而溝槽軸向對準形成於金屬-絕緣層-金屬(MIM)電容上之介層,且停在接近第二蝕刻停止層之處。一介電堆疊約5.5微米高,具有約3.5微米高的導線,而介層具約1.2微米之最小寬度。
本發明另一方面,一厚導線結構包含一下方導線,形成於一摻雜氟之矽酸鹽玻璃(FSG)介電材料中。一第一氮化物帽蓋層覆蓋該下方導線,一層間層形成於第一氮化物帽蓋層上,一金屬-絕緣層-金屬(MIM)電容嵌於一部分層間層中。一金屬-絕緣層-金屬(MIM)蝕刻停止帽蓋層形成於金屬-絕緣層-金屬(MIM)電容上。金屬-絕緣層-金屬(MIM)蝕刻停止帽蓋層具有大於第一氮化物帽蓋之厚度。一第二氮化物帽蓋層形成於該層間層上。一摻雜氟之矽酸鹽玻璃(FSG)介電層形成於第二氮化物帽蓋上。一介層對準於下方導線與金屬-絕緣層-金屬(MIM)電容之至少其一,且延伸接近金屬-絕緣層-金屬(MIM)氮化物帽蓋層與第一氮化物帽蓋層。一溝槽實質軸向對準於介層,且具有大於介層之一寬度,並延伸至第二氮化物帽蓋層。在實施例中,金屬-絕緣層-金屬(MIM)電容是由耐火金屬或包含鎢(W)、氮化鎢(WN)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、氮化鈦矽、(TiSiN)之至少其一的合金所組成。
本發明大體而言為關於半導體裝置與製造方法,更特別的是關於利用雙鑲嵌製程製造具有厚導線裝置之製造方法。利用本發明之雙鑲嵌製程,像貫穿(punch through)介層邊緣、介層蝕刻不足、溝槽蝕刻不足或過度蝕刻之問題將會消除。本發明之方法同時可消除對下方金屬層和/或金屬-絕緣層-金屬(MIM)電容之侵蝕作用。在一實施例中,本發明中雙鑲嵌製程包含,舉例來說,形成一部分介層,塗上一負光阻材料,接著蝕刻一溝槽與完成介層蝕刻。此方法選擇性地包含加入一金屬-絕緣層-金屬(MIM)電容或其他被動元件(例如一薄膜電阻)於後段製程(BEOL)結構中。
在實施例中,所達成的結構為一雙鑲嵌銅後段製程(BEOL)結構,在該結構中之銅層包含導線與介層,具有至少3.5微米之厚度。利用本發明所形成之至少一結構中,一介電堆疊約5.5微米高,具有3.5微米高的導線。在實施例中,導線與介層具有最終高度分別約為3微米與約為2微米,且介層與導線兩者皆具有約1.2微米之最小寬度。
圖1顯示本發明之起始結構,該起始結構包含一雙鑲嵌銅導線12形成於用以隔絕鄰近金屬線之一低介電常數介電材料10中。低介電常數介電材料10減少不當的電容性耦合與介於金屬線間之”串音(cross talk)”。在實施例中,介電材料10可為,例如:SiO2 、摻雜氟之SiO2 (FSG)、SiCOH、聚芳基酯(polyarylene(ether))、多孔介電質、部分空氣隙(partial airgaps)、或全部空氣隙(full airgaps)。在實施例中,如先前技術所知,為確保尺寸之均勻,虛擬的填充型態(dummy fill shapes)可提供於沒有銅導線的區域,雖然,應明瞭實施本發明並不需要該虛擬的填充型態。
一介層蝕刻停止層14,例如,一個或多個高密度電漿(HDP),電漿增強化學氣相沉積法(plasma enhanced CVD),或旋塗層,例如,一個或多個的氮化矽、碳氮化矽、碳氮化矽氧與碳化矽帽蓋,形成於介電材料10上。在實施例中,蝕刻停止層14可最小化且位於Mx-1層上,且在實施例中,其範圍約25-75奈米。
繼續參考圖1,一層間介電層16,例如,先前所提到層10的氧化物基底材料,沉積於蝕刻停止層14上。在實施例中,一金屬-絕緣層-金屬(MIM)電容18利用一般製程嵌於層間介電層16。金屬-絕緣層-金屬(MIM)電容18可為熟知該技術者所知之任何習知堆疊結構。在一實施例中,金屬-絕緣層-金屬(MIM)電容18包含一氮化鈦(TiN)/鎢(W)/氮化鈦(TiN)底板與氮化鈦(TiN)上板。在其他實施例中,金屬-絕緣層-金屬(MIM)電容18使用一氮化鉭(TaN)/鉭(Ta),氮化鉭(TaN)/鉭(Ta)/氮化鉭(TaN),或氮化鉭(TaN)/鉭(Ta)/氮化鈦(TiN)底板。若包含金屬-絕緣層-金屬(MIM)電容18,則介電層16會以兩步驟沉積,第一步驟為金屬-絕緣層-金屬(MIM)製造,第二步驟為在金屬-絕緣層-金屬(MIM)製造後。可利用任何金屬-絕緣層-金屬(MIM)介電質,如一個或多個的二氧化矽、氮化矽、氧化鋁、五氧化二鉭,如此技術所知,其標準厚度範圍為25-75奈米。
在第二介電質沉積後,可執行一平坦化步驟,例如化學機械研磨(CMP),移除介電質厚度約等於1.5至3倍厚的金屬-絕緣層-金屬(MIM)高度,接著進行標準晶圓清潔,如習知的平坦化晶圓技術。化學機械研磨(CMP)也可在最後介電層沉積(層24a)後施行。若實施後者,在金屬-絕緣層-金屬(MIM)上之介電層會遵循金屬-絕緣層-金屬(MIM)的輪廓(未顯示)。
在實施例中,包含一金屬-絕緣層-金屬(MIM)電容18,一蝕刻停止層18a,例如:上氮化矽帽蓋層、碳氮化矽、碳氮氧化矽或碳矽化物蝕刻停止層,或相似於14層之薄膜組成,形成於該金屬-絕緣層-金屬(MIM)電容18上。一實施例中,上氮化物層18a厚度約蝕刻停止層14之兩倍厚,且為氮化矽所形成。如該說明範例中,氮化物層18a約150奈米厚。這使得後續蝕刻製程在蝕刻到該蝕刻停止層14前,不會暴露出該金屬-絕緣層-金屬(MIM)電容18,如以下更詳細討論。在實施例中,金屬-絕緣層-金屬(MIM)電容18總高約0.4微米,耐火金屬導電上板與下板,例如一個或多個的氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鎢(W)、氮化鎢(WN)、氮化鈦矽(TiSiN)、氮化鉭矽(TaSiN)、氮化鎢矽(WSiN),而金屬-絕緣層-金屬(MIM)介電質,如熟知該技術領域者所知一個或多個的SiO2 、Si3 N4 、Al2 O3 、Ta2 O5 、Al2 O3 /Ta2 O5 /Al2 O3 多層薄膜。在一實施例中,上金屬-絕緣層-金屬(MIM)板係由氮化鈦(TiN)所組成,利用對金屬-絕緣層-金屬(MIM)介電質有蝕刻選擇性之氮化鈦(TiN)反應性離子蝕刻,以避免蝕刻穿金屬-絕緣層-金屬(MIM)介電質而暴露出下金屬-絕緣層-金屬(MIM)板。金屬-絕緣層-金屬(MIM)介電質為Al2 O3 /Ta2 O5 /Al2 O3 多層薄膜,且金屬-絕緣層-金屬(MIM)底板為一低阻抗耐火金屬,如鎢(W)或鉭(Ta)選擇性地以氮化鈦(TiN)或氮化鉭(TaN)包覆上方與下方。
一低介電材料20,如摻雜氟之矽酸鹽玻璃(FSG),形成於二氧化矽層18。在實施例中,低介電材料20與氧化層18之厚度約為0.3微米,但其他的厚度亦為本發明所仔細考量。一蝕刻停止層22形成於低介電材料20上,其範圍約100奈米。在實施例中,蝕刻停止層22為氮化矽帽蓋層。選擇性的氧化物層22a可形成於蝕刻停止層22上,以增進後續介電層24之附著力。舉例來說,層22可包含200奈米的SiO2 ,而層24包含3微米之FSG薄膜。於FS呈現的未摻雜化物已顯示可改善氮化矽上之FSG薄膜的黏著性或其他特性。
一低介電材料24,如FSG,可以任何習知方法形成於氮化層22上。在實施例中,低介電材料24約3微米厚。在選擇性的步驟中,二氧化矽層24a可形成於低介電材料24上。在此選擇性的步驟中,二氧化矽層24a可約為0.3至0.5微米厚,而低介電材料24可約2.5微米厚。應可理解,在銅化學機械研磨(CMP)製程期間,二氧化矽層24a可提供較少的變異與侵蝕,且且後續蝕刻及CMP處理中可以或可以不被完全移除。
圖2顯示一蝕刻製程以形成部分介層於圖1結構中。在實施例中,一個或多個部分介層26形成於該結構中。在實施例中,該部分介層26藉由習知的光阻、圖案化與蝕刻方法所形成。例如,光阻可形成於低介電材料24上,或選替地於二氧化矽層24a上。光阻被曝光以形成圖案。接著利用習知反應性離子蝕刻製程蝕刻圖案,例如形成部分介層26。較佳地,剝除或移除光阻。
在實施例中,該部分介層26形成於低介電材料24內,標稱對準金屬層12及/或金屬-絕緣層-金屬(MIM)電容18,以利隨後蝕刻與形成導線。依介電材料24(於替代實施例為二氧化矽層24a)之厚度,該部分介層26可蝕刻到一深度,約2/3的層24與24a之組合厚度,且寬度約1.2微米。在實施例中,蝕刻劑化學作用為標準反應性離子蝕刻(RIE)基礎的化學劑,如CF4 /Ar/CO,利用習知平行板反應性離子蝕刻反應器,如該技術領域者所知,在反應性離子蝕刻完成後光阻餘留在晶圓上。選替地,如習知技術所知,在微影產生圖案之前,可使用任何標準硬遮罩或具低溫介電質之塗佈抗反射塗層(ARC)。
圖3顯示形成一溝槽之起始製程。在此實施例中,一負光阻28形成於除了形成於該部分介層26內部,也形成於低介電材料24或選替地形成於二氧化矽層24a上。在該部分介層26中發現光阻28具有良好的填充特性。特別是未曝光之負光阻在顯影過程中被移除。這表示沒有導線溝槽的區域被曝光,而具有導線溝槽的區域沒有被曝光。因此,在光阻顯影期間,可輕易移除在該部分蝕刻介層26中未被曝光之負光阻。此製程免除使用抗反射塗層,因此免除在導線溝槽反應性離子蝕刻期間關於利用抗反射塗層填充介層與蝕刻的問題。
如圖4所示,導線溝槽開口30藉由對距該部分介層邊緣較遠之負光阻曝光而形成。開口30實質上軸向對準於該部分介層26。開口30藉由對離該部分介層較遠的負光阻曝光而形成,結果形成介層邊界32於該部分介層26之側邊。介層邊界32約0.6微米或更小,但大於0。在實施例中,介層邊界32是必要的,以避免負光阻在曝光期間,光從未印有圖案之部分介層26的邊界滲出,如圖8所示。
圖5顯示根據本發明附加的製程步驟。在形成導線溝槽開口30圖案於光阻28之後,利用蝕刻劑繼續蝕刻該部分介層26與溝槽34進入到介電層24中,使得介層26被蝕刻穿透蝕刻停止層22,而溝槽34未蝕刻穿透蝕刻停止層22。在此時介層26尚未蝕刻至蝕刻停止層18a。如圖5所示,該蝕刻製程將蝕刻該部分介層26進入層間介電層16(如圖所示)或介電層20。在一實施例中,介層高度是最佳化的(例如:依層16的厚度,介層可能範圍約為2微米),以避免在溝槽反應性離子蝕刻碰到氮化物蝕刻停止層14之前,介層碰到金屬-絕緣層-金屬(MIM)蝕刻停止層18a。
在此蝕刻步驟中,蝕刻劑化學作用為對蝕刻停止層不具有選擇性,例如:層24、20與16的反應性離子蝕刻(RIE)蝕刻速率約與蝕刻停止層22的反應性離子蝕刻(RIE)速率相同。該不具有選擇性的蝕刻製程係計時僅蝕刻一部分的介電層16或20。在金屬層12與金屬-絕緣層-金屬(MIM)電容18上方的部分介電層將不會被蝕刻,因此蝕刻劑將不會蝕刻進入蝕刻停止層14與18a,例如蝕刻將會停止於氮化層14(保護金屬層12)與氮化層18a(保護該金屬-絕緣層-金屬(MIM)電容18)上方。
以下表1顯示在工業標準平行板反應性離子蝕刻室中,對於溝槽(第二雙鑲嵌步驟)之反應性離子蝕刻(RIE)情況。請注意,其他化學作用也可被使用於其他反應性離子蝕刻反應,只要以上所討論的整合條件符合。
圖6代表本發明中具有選擇性之反應性離子蝕刻(RIE)製程。在此製程步驟中,使用對氮化矽具有選擇性之反應性離子蝕刻(RIE)製程,以形成溝槽34。在反應性離子蝕刻製程步驟中,可理解該蝕刻化學作用將進一步對介層蝕刻,且較佳稍微於該蝕刻停止層14與18a(如氮化矽層)上方。然而,在實施例中,在蝕刻期間,反應性離子蝕刻製程在蝕刻該蝕刻停止層14之前,將蝕刻至蝕刻停止層18a。以此方法,在一些實施中,蝕刻停止層18a會被輕微蝕刻掉,但以如此緩慢的蝕刻速率,金屬-絕緣層-金屬(MIM)電容18之頂層將不會暴露出來。因為此理由,蝕刻停止層18a可比蝕刻停止層14厚,以確保金屬-絕緣層-金屬(MIM)電容18不會在蝕刻期間被暴露出來。
維持反應性離子蝕刻對蝕刻停止層18a具有選擇性的面向,在於利用限制在反應性離子蝕刻室中之氧氣,以達到僅蝕刻介層之效果。在介層完全蝕刻之前,若溝槽34圖案被蝕刻穿透蝕刻停止層22,則反應性離子蝕刻對蝕刻停止層18a的選擇性會減少或消除,由於氧氣從溝槽開口之介質蝕刻釋放出來,造成反應性離子蝕刻(RIE)蝕刻進入金屬-絕緣層-金屬(MIM)電容之頂板,將導致金屬-絕緣層-金屬(MIM)量率與可靠度降低。此部分與其他部分的蝕刻,可依照固定時間施行、使用已知蝕刻速率、或光學放射頻譜分析(或任何其他所知之方法)去偵測蝕刻終點。
在實施例中,溝槽34的範圍約1微米至100微米寬,且約3至3.5微米高。另一方面,處理後,介層約2微米高。可了解以上所提供之尺寸,為非限制的說明範例,且其他尺寸也可等效達成本發明之實施。
仍參考圖6,於一實施例,在蝕刻劑製程描述中,2s ccm的溝槽反應性離子蝕刻(RIE)可被加入以增加選擇性。此蝕刻劑製程消除在溝槽邊緣的氮化矽穿透現象,提供對金屬-絕緣層-金屬電容更好選擇性,且避免聚合物反應性離子蝕刻停止與介層條反應性離子蝕刻問題(如已知介層條對於蝕刻劑化學具有非常低阻抗)。在此具有選性的蝕刻步驟中,於選擇性蝕刻劑製程期間,蝕刻停止層14與18a將當作蝕刻停止層,以確保下方金屬(如銅)層12或金屬-絕緣層-金屬(MIM)電容18不會被暴露出來,例如蝕刻停止層夠厚以致於使反應性離子蝕刻停止。一旦溝槽32形成,剝除光阻28作為一額外製程步驟,蝕刻氮化矽層14(及任何暴露的介電質),以露出下方導線12,執行選擇性的去氟化電漿清潔,進行清潔晶圓,如以30秒100:1的稀釋氟氢酸清潔,且金屬化晶圓係藉由沉積耐火金屬襯層、銅晶種、電鍍銅,以及使用化學機械研磨法(CMP)移除過多的金屬等,如熟知該技術領域者所知之技術。
模擬蝕刻時間對導線與介層高度以最佳化該製程是有可能的,並避免蝕刻穿透該蝕刻停止層18a。圖7顯示目標為1.5 μm部分介層深度之蝕刻時間對層間層深度之示意圖。如圖所示,水平線表示蝕刻碰到蝕刻停止層14的時間,而斜線表示直到介層到達金屬-絕緣層-金屬(MIM)電容18的蝕刻停止層18a的時間。在介層到達金屬-絕緣層-金屬(MIM)電容18的蝕刻停止層18a之前,選擇性氧化物溝槽蝕刻具有一終點於蝕刻停止層(如帽蓋)22上。在圖7中,處理後理想的介層高度為1.9微米。
圖8A-8D顯示本發明之一中間結構(具有600nm溝槽邊界於介層附近)與無邊界結構的比較。在圖8A與8D圖中分別顯示依照本發明所製造結構之側視與上視圖。很明顯沒有剩餘的光阻殘留在本發明所製造之具有邊界的部分介層。然而,如圖8C與8D所顯示,使用無邊界的介層導致光阻殘留在介層中。亦即,於介層中有浮垢。這浮垢是由於光滲入介層且隨後不能被顯影掉所造成,因此減弱形成介層與溝槽的蝕刻製程。
典型的金屬-絕緣層-金屬(MIM)電容如圖9所示,其各層如表2所述。金屬-絕緣層-金屬(MIM)上板52與下板50為導體,且可由多層組成。屬-絕緣層-金屬(MIM)電容利用鑲嵌銅導線形成,該些板由如鎢(W)、氮化鎢(WN)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、氮化鈦矽、(TiSiN)等耐火金屬或合金所組成,如上所述。金屬-絕緣層-金屬(MIM)電容使用一層或多層的PECVD氧化矽層或氮化矽層,或CVD氧化鋁包覆五氧化二鉭,較好的金屬-絕緣層-金屬(MIM)可靠度為當氮化鈦(TiN)、氮化鉭(TaN)或鉭(Ta)直接接觸金屬-絕緣層-金屬(MIM)介電層51。一額外的下板要求為具低片電阻,以增進該金屬-絕緣層-金屬(MIM)品質因素。
金屬-絕緣層-金屬(MIM)下板50接觸於上方介層,造成接觸到沒有上板的區域。這意謂著減少有效的下板阻抗,且增加金屬-絕緣層-金屬(MIM)品質因素,金屬-絕緣層-金屬(MIM)下板50需要相當厚,如100-400nm。由於金屬-絕緣層-金屬(MIM)上板52可接觸於上方介層,且被上方的導線所束縛,其片電阻就相對地不重要,而重要的參數為其對反應性離子蝕刻(RIE)、濕蝕刻、與在金屬-絕緣層-金屬(MIM)上之介層製程中的清潔步驟的抗蝕能力。
若介層接觸金屬-絕緣層-金屬(MIM)上板(如在溝槽蝕刻期間蝕刻穿透蝕刻停止層18a),金屬-絕緣層-金屬(MIM)介電質完整性將由於充電損壞而會衰減。若介層完全蝕刻穿透金屬-絕緣層-金屬(MIM)上板且接觸金屬-絕緣層-金屬(MIM)介電質,則金屬-絕緣層-金屬(MIM)上板52與下板50將會短路,或具有較差的介電質洩漏特性。最終,硬遮罩或蝕刻停止層53與54需要夠厚,以停止介層蝕刻進入金屬-絕緣層-金屬(MIM)板。因為金屬-絕緣層-金屬(MIM)必須配合介層高度,這代表在前層導線層上方之金屬-絕緣層-金屬(MIM)高度是被限制的,且在金屬-絕緣層-金屬(MIM)板電阻、金屬-絕緣層-金屬(MIM)硬遮罩,或蝕刻停止層厚度等做取捨。當晶圓於介層與溝槽反應性離子蝕刻(RIE)後金屬化,一濕式清潔,如100:1之DHF進行30秒,接著進行氬濺鍍清潔。應該減少氬濺鍍清潔移除金屬-絕緣層-金屬(MIM)上板,以避免金屬-絕緣層-金屬(MIM)上板52與下板50短路,如上所述。舉例來說,金屬-絕緣層-金屬(MIM)電容的形成包含:濺鍍清潔移除少於10奈米氧化物等效濺渡移除之金屬-絕緣層-金屬(MIM)上板,以避免金屬-絕緣層-金屬(MIM)上板與下板短路。
FSG介電層傾向比未摻雜二氧化矽具有更高的壓縮應力。由於這個原因,未摻雜的二氧化矽選擇性使用於部分介層介電質堆疊中,其中對導線電容造成的影響最小,以減少整個晶圓的彎曲。晶圓由於高應力薄膜而形成過度的彎曲,在處理工具如微影對準器,反應性離子蝕刻等等很難夾住。然而,不只有FSG與未摻雜的二氧化矽,任何的介電質可被考慮作為該些板。未摻雜的二氧化矽也可選擇性地被使用於圖1的層24上,厚度約為100-500 nm,例如300nm。未摻雜的二氧化矽比FSG在空氣的水氣中或在濕蝕刻期間更不易反應;可減少光阻污染;若留下部分於晶圓上或在層14蝕刻及隨後銅化學機械研磨製程期間完全移除,對最終的導線電容影響最小。
由於介層於溝槽反應離子蝕刻(RIE)期間完成,溝槽反應離子蝕刻(RIE)化學作用應最佳化,以蝕刻介層洞與介層條。在溝槽反應離子蝕刻期間,介層條與介層洞將像溝槽一樣蝕刻。一旦選擇性溝槽蝕刻終點於蝕刻停止層上,化學作用會轉換成一個對介層洞與介層條有特佳選擇性的介層蝕刻。
使用如上所述方法製造積體電路晶片,如CMOS、SiGe、SRAM、DRAM電晶體等。所製成的積體電路晶片被製造者以裸晶圓形式(即具有多個未包裝晶片的單晶圓),如裸晶粒,或以封裝形式散佈。在後者案例中,晶片固定在單一晶片封裝(如塑膠載具且具有導線固定在主機板上或其他更高階載具上),或一多晶片封裝(如具有表面或埋入的互連之任一或兩者)。在任何案例中,晶片與其他晶片、離散電路元件、且/或其他訊號處理元件整合,當作一(a)中間產物,如主機板,或(b)最終產物。最終產物可為包含積體電路晶片之任何產品,涵蓋範圍從玩具及其他低階應用到高階電腦產品,顯示器,鍵盤或其他輸入裝置,及中央處理器。
本發明已就示範的具體化敘述,熟知該技術領域者將認知本發明能具體實施修改而不悖離申請專利範圍的精神與範疇。
10...低介電常數材料
12...鑲嵌銅導線
14...蝕刻停止層
16...層間介電層
18...金屬-絕緣層-金屬電容
18a...蝕刻停止層
20...低介電材料
22...蝕刻停止層
22a...氧化層
24...介電層
24a...二氧化矽層
26...部分介層
28...光阻
30...開口
32...介層邊界
34...溝槽
50...MIM下板
51...MIM介電層
52...MIM上板
53...MIM上板蝕刻硬遮罩
54...MIM下板蝕刻硬遮罩
圖1 本發明的初始結構;圖2 本發明製程步驟之實行;圖3 本發明製程步驟之實行;圖4 本發明製程步驟之實行;圖5 本發明製程步驟之實行;圖6 本發明製程步驟之實行;圖7 目標為1.5 μm部分介層深度之蝕刻時間對層間層深度之示意圖;圖8A-8D 為本發明中之一中間結構(具有一邊界)與一無邊界結構之比較;以及圖9 依本發明所製造之金屬-絕緣層-金屬(MIM)電容範例。
10...低介電常數材料
12...鑲嵌銅導線
14...蝕刻停止層
16...層間介電層
18...金屬-絕緣層-金屬電容
18a...蝕刻停止層
20...低介電材料
22...蝕刻停止層
22a...氧化層
24...介電層
26...部分介層
30...開口
32...介層邊界

Claims (38)

  1. 一種半導體結構之製造方法,包含:蝕刻至少一部分介層於一堆疊結構中;形成一邊界接近該至少一部分介層;以及當繼續介層蝕刻到至少一蝕刻停止層時,使用選擇性蝕刻以實施厚導線;其中:該至少一部分介層包含一第一部分介層及一第二部分介層;該至少一蝕刻停止層包含一第一蝕刻停止層及一第二蝕刻停止層:該選擇性蝕刻形成一第一導線溝槽於該第一部分介層上方且延伸該第一部分介層至位於一金屬層上的該第一蝕刻停止層:該選擇性蝕刻形成一第二導線溝槽於該第二部分介層上方且延伸該第二部分介層至該第二蝕刻停止層:所述形成該邊界的步驟包含:在形成該至少一部分介層之後,沉積一負光阻於該堆疊結構上;對負光阻離該至少一部分介層較遠的部分曝光;以及其中所述實施厚導線的步驟包含:蝕刻該至少一部分介層更深入該堆疊結構中;以及選擇性蝕刻以形成該第一及第二導線溝槽於該第一 及第二部分介層上方,其中該選擇性蝕刻對於沉積於該金屬層上的該第一蝕刻停止層與沉積於金屬-絕緣層-金屬(MIM)電容上的該第二蝕刻停止層至少其一具有選擇性。
  2. 如申請專利範圍第1項之方法,其中該實施為雙鑲嵌製程的一部分。
  3. 如申請專利範圍第1項之方法,其中:該第一蝕刻停止層係位於一Mx-1金屬層上;及該第二蝕刻停止層係位於一金屬-絕緣層-金屬(MIM)電容上。
  4. 一種半導體結構之製造方法,包含:蝕刻至少一部分介層於一堆疊結構中;形成一邊界接近該至少一部分介層;以及當繼續介層蝕刻到至少一蝕刻停止層時,使用選擇性蝕刻以實施厚導線,其中:該至少一蝕刻停止層包含一第一蝕刻停止層係位於一Mx-1金屬層上及一第二蝕刻停止層係位於一金屬-絕緣層-金屬(MIM)電容上;及位於該金屬-絕緣層-金屬(MIM)電容上的該蝕刻停止層係形成厚於位於該Mx-1金屬層上的該蝕刻停止層。
  5. 如申請專利範圍第1項之方法,其中形成該堆疊結構包含:提供一鑲嵌銅導線形成於一第一低介電常數介電層中;形成該第一蝕刻停止層於該第一低介電常數介電層上且接觸該鑲嵌銅導線的一上表面;形成一層間介電層與一第二低介電常數介電層於該第一蝕刻停止層;形成一第三蝕刻停止層於該第二低介電常數介電層;以及形成一第三低介電常數介電層於該第三蝕刻停止層。
  6. 如申請專利範圍第3項之方法,其中該金屬-絕緣層-金屬(MIM)電容包含耐火金屬或包含鎢(W)、氮化鎢(WN)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、氮化鈦矽(TiSiN)之至少其一的合金。
  7. 如申請專利範圍第5項之方法,其中該第一蝕刻停止層與該第三蝕刻停止層至少為氮化矽、碳氮化矽、碳氮化矽氧(silicon oxy carbo nitride)與碳化矽(silicon carbide)其中之一。
  8. 如申請專利範圍第5項之方法,更包含嵌入該金屬-絕緣層-金屬電容於該層間介電層。
  9. 如申請專利範圍第8項之方法,其中該金屬-絕緣層-金屬(MIM)電容為複數個板,且具有氮化矽、碳氮化矽、碳氮化矽氧與碳化矽蝕刻停止層至少其中之一。
  10. 如申請專利範圍第1項之方法,其中該蝕刻至少一部分介層包含部分蝕刻與該金屬層級及該金屬-絕緣層-金屬電容之至少其一對準之該堆疊結構。
  11. 如申請專利範圍第1項之方法,更包含實施如申請專利範圍第1項所述之方法製造積體電路晶片。
  12. 如申請專利範圍第1項之方法,其中申請專利範圍第1項所述之步驟為一雙鑲嵌銅後段製程(BEOL),其中銅層定義為一導線與一厚度約3.5微米或更厚之介層高度。
  13. 如申請專利範圍第1項之方法,其中該選擇性蝕刻包括:一溝槽蝕刻,其在該第一及第二部分介層之其中之一延伸到該第一及第二蝕刻停止層之其中之一前延伸至一第三蝕刻停止層。
  14. 一種製造一雙鑲嵌銅後段製程結構的方法,包含:形成一第一部分高度介層,以對準至少一下方金屬層;形成一第二部分高度介層,以對準一金屬-絕緣層-金屬(MIM)電容; 塗上一負光阻材料;形成一第一邊界於接近該第一部分高度介層之該負光阻材料中且形成一第二邊界於接近該第二部分高度介層之該負光阻材料中;蝕刻該第一及第二部分高度介層至至少一更大深度;以及選擇性蝕刻以形成位於該第一及第二部分高度介層上方對應的導線溝槽,其中:該選擇性蝕刻延伸該第一部分高度介層至該下方金屬層上的一第一蝕刻停止層;該選擇性蝕刻延伸該第二部分高度介層至該金屬-絕緣層-金屬電容上的一第二蝕刻停止層。
  15. 如申請專利範圍第14項之方法,更包含:提供該下方金屬形成於一第一低介電常數介電層中;形成該第一蝕刻停止層於該第一低介電常數介電層上且接觸該下方金屬的一上表面;形成一第二低介電常數介電層與一層間介電層於該第一蝕刻停止層上;嵌入該金屬-絕緣層-金屬(MIM)電容於該層間介電層層;形成該第二蝕刻停止層於該金屬-絕緣層-金屬(MIM)電容上;形成一第三蝕刻停止層於該第二低介電常數介電層上; 以及形成一第三低介電常數介電層於該第三蝕刻停止層上。
  16. 如申請專利範圍第15項之方法,其中該第一蝕刻停止層與該第三蝕刻停止層至少為氮化矽、碳氮化矽、碳氮化矽氧與碳化矽蝕刻停止層至少其中之一。
  17. 如申請專利範圍第15項之方法,其中該金屬-絕緣層-金屬(MIM)電容的形成係利用複數個板且具有氮化矽、碳氮化矽、碳氮化矽氧與碳化矽蝕刻停止層至少其中之一。
  18. 如申請專利範圍第14項之方法,其中該選擇性蝕刻以形成該些導線溝槽之該步驟包含:在該第一及第二介層之至少其中之一到達該第一及第二蝕刻停止層之至少其中之一前,蝕刻該些導線溝槽之至少其中之一至一第三蝕刻停止層。
  19. 如申請專利範圍第14項之方法,其中形成該第一及第二邊界之至少其中之一之步驟包含對負光阻離該第一及第二部分高度介層之至少其中之一較遠的部分曝光。
  20. 如申請專利範圍第14項之方法,其中選擇性蝕刻以形成該些導線溝槽之步驟包含對準該些導線溝槽之至少其中之一與該金屬-絕緣層-金屬(MIM)電容之至少其一。
  21. 一種製造一厚導線結構之雙鑲嵌方法,包含:形成一部分介層於一堆疊結構;在形成該部分介層洞之後,沉積負光阻於該堆疊結構上;曝光該負光阻,離該部分介層較遠以形成一邊界於該部分介層之上;蝕刻該部分介層更深入於該堆疊結構中;以及選擇性蝕刻入該部分介層以形成一溝槽,該選擇性蝕刻對於沉積於一下方金屬層與金屬-絕緣層-金屬(MIM)至少其一上之至少一蝕刻停止層是有選擇性的,該金屬-絕緣層-金屬(MIM)具有至少一上板、一MIM介電層、以及一下板。
  22. 如申請專利範圍第21項之方法,其中該厚導線結構具有約3.5微米或更大之厚度。
  23. 如申請專利範圍第21項之方法,更包含實施如申請專利範圍第1項所述之步驟製造積體電路晶片。
  24. 如申請專利範圍第21項之方法,更包含形成該金屬-絕緣層-金屬(MIM)電容,其中包含少於10奈米氧化物等效濺鍍移除的一金屬-絕緣層-金屬(MIM)上板之濺鍍清潔移除,以致於該介層未完全蝕刻穿透該上板且未接觸該MIM介電層。
  25. 如申請專利範圍第24項之方法,其中該至少一蝕刻停止層為一第一蝕刻停止層與一第二蝕刻停止層,該第一蝕刻停止層形成於該下方金屬層之上,該第二蝕刻停止層形成於該金屬-絕緣層-金屬(MIM)電容之一表面且被形成具有高於該第一蝕停止層之高度。
  26. 如申請專利範圍第21項之方法,其中:該至少一蝕刻停止層包含一第一蝕刻停止層及一第二蝕刻停止層;該第一蝕刻停止層係沉積於該金屬層上並且與其接觸;以及該第一蝕刻停止層係沉積於該金屬-絕緣層-金屬(MIM)電容之上板上並且與其接觸。
  27. 一種厚導線結構,包含:一鑲嵌銅導線形成於一第一介電層上;一蝕刻停止層覆蓋該鑲嵌銅導線;一第二介電層於該蝕刻停止層上;一第二蝕刻停止層於該第二介電層上;一第三介電層於該第二蝕刻停止層上;一介層約1.5微米或更高,形成穿透該蝕刻停止層且穿透該第二與第三介電層且接觸該鑲嵌銅導線;以及一溝槽約2微米或更高,形成接近於該第二蝕刻停止層且實質軸向對準於該介層,並具有大於該介層之寬度。
  28. 如申請專利範圍第27項之結構,更包含一金屬-絕緣層- 金屬(MIM)電容嵌於該第二介電層,一第三蝕刻停止層形成於該金屬-絕緣層-金屬(MIM)電容之上,一第二介層對準且延伸至形成於該金屬-絕緣層-金屬(MIM)電容上之該第三蝕刻停止層,一第二溝槽軸向對準形成於該金屬-絕緣層-金屬(MIM)電容上之該介層,且停在接近該第二蝕刻停止層之處。
  29. 如申請專利範圍第27項之結構,其中一介電堆疊包含至少該第二及第三介電層約5.5微米高,具有約3.5微米高的導線與該介層具一約1.2微米之最小寬度。
  30. 一種厚導線結構,包含:一下方導線,形成於一摻雜氟之矽酸鹽玻璃(FSG)介電材料中;一第一氮化物帽蓋層覆蓋該下方導線;一層間層形成於該第一氮化物帽蓋層上;一金屬-絕緣層-金屬(MIM)電容嵌於一部分該層間層中;一金屬-絕緣層-金屬(MIM)蝕刻停止帽蓋層形成於該金屬-絕緣層-金屬(MIM)電容上,該金屬-絕緣層-金屬(MIM)蝕刻停止帽蓋層具有大於該第一氮化物帽蓋層之厚度;一第二氮化物帽蓋層形成於該層間層上;一摻雜氟之矽酸鹽玻璃(FSG)介電層形成於該第二氮 化物帽蓋層上;一介層對準於該下方導線,且延伸接近該第一氮化物帽蓋層;以及一溝槽實質軸向對準於該介層,且具有大於該介層之一寬度,並延伸至該第二氮化物帽蓋層。
  31. 如申請專利範圍第30項之結構,其中該金屬-絕緣層-金屬(MIM)電容是由耐火金屬或包含鎢(W)、氮化鎢(WN)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、氮化鈦矽、(TiSiN)之至少其一的合金所組成。
  32. 如申請專利範圍第30項之厚導線結構,更包含:一第二介層對準於該金屬-絕緣層-金屬(MIM)電容,且延伸接近該金屬-絕緣層-金屬(MIM)蝕刻停止帽蓋層;以及一第二溝槽實質軸向對準於形成在該金屬-絕緣層-金屬(MIM)電容上的該第二介層,並延伸至該第二氮化物帽蓋層。
  33. 如申請專利範圍第30項之厚導線結構,其中包含該層間介電層及該摻雜氟之矽酸鹽玻璃(FSG)介電層的一介電堆疊約5.5微米高,具該介層具一約1.2微米之寬度。
  34. 如申請專利範圍第30項之厚導線結構,其中該溝槽的一 底部係位於該第二氮化物帽蓋層的一上表面上。
  35. 如申請專利範圍第30項之厚導線結構,更包含一氧化物層形成於該第二氮化物帽蓋層與該摻雜氟之矽酸鹽玻璃(FSG)介電層之間。
  36. 如申請專利範圍第30項之厚導線結構,更包含氧化矽層形成於該摻雜氟之矽酸鹽玻璃(FSG)介電層之上。
  37. 如申請專利範圍第30項之厚導線結構,其中該摻雜氟之矽酸鹽玻璃(FSG)介電層係配置位於該第二氮化物帽蓋層的上方。
  38. 如申請專利範圍第37項之厚導線結構,其中該溝槽的一底部係配置位於該摻雜氟之矽酸鹽玻璃(FSG)介電層與該第二氮化物帽蓋層之間的一介面的等高處。
TW096101395A 2006-01-19 2007-01-15 製造厚導線結構之雙鑲嵌製程 TWI397948B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/275,604 US7602068B2 (en) 2006-01-19 2006-01-19 Dual-damascene process to fabricate thick wire structure

Publications (2)

Publication Number Publication Date
TW200809923A TW200809923A (en) 2008-02-16
TWI397948B true TWI397948B (zh) 2013-06-01

Family

ID=36316858

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096101395A TWI397948B (zh) 2006-01-19 2007-01-15 製造厚導線結構之雙鑲嵌製程

Country Status (6)

Country Link
US (4) US7602068B2 (zh)
EP (1) EP1974379A4 (zh)
JP (1) JP2009524257A (zh)
CN (1) CN101366116B (zh)
TW (1) TWI397948B (zh)
WO (1) WO2007084982A2 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100703025B1 (ko) * 2005-07-05 2007-04-06 삼성전자주식회사 반도체 장치에서 금속 배선 형성 방법.
US7602068B2 (en) * 2006-01-19 2009-10-13 International Machines Corporation Dual-damascene process to fabricate thick wire structure
US7759244B2 (en) * 2007-05-10 2010-07-20 United Microelectronics Corp. Method for fabricating an inductor structure or a dual damascene structure
US8283258B2 (en) * 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
US7470616B1 (en) * 2008-05-15 2008-12-30 International Business Machines Corporation Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US8089135B2 (en) * 2008-07-30 2012-01-03 International Business Machine Corporation Back-end-of-line wiring structures with integrated passive elements and design structures for a radiofrequency integrated circuit
US8890287B2 (en) * 2009-05-29 2014-11-18 Power Gold LLC Integrated nano-farad capacitors and method of formation
US8227339B2 (en) * 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
JP5218460B2 (ja) * 2010-03-26 2013-06-26 セイコーエプソン株式会社 焦電型光検出器、焦電型光検出装置及び電子機器
US8865497B2 (en) 2010-06-25 2014-10-21 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
JP5824330B2 (ja) * 2011-11-07 2015-11-25 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8866306B2 (en) 2013-01-02 2014-10-21 International Business Machines Corporation Signal path and method of manufacturing a multiple-patterned semiconductor device
US9082624B2 (en) 2013-01-02 2015-07-14 International Business Machines Corporation Signal path of a multiple-patterned semiconductor device
US9257496B2 (en) * 2013-01-16 2016-02-09 United Microelectronics Corporation Method of fabricating capacitor structure
WO2014209302A1 (en) * 2013-06-26 2014-12-31 Intel Corporation Metal-insulator-metal on-die capacitor with partial vias
US9099533B2 (en) 2013-07-02 2015-08-04 International Business Machines Corporation Semiconductor device with distinct multiple-patterned conductive tracks on a same level
US9502346B2 (en) * 2013-08-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with a sidewall layer and an ultra-thick metal layer and method of making
US9761489B2 (en) * 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9577025B2 (en) * 2014-01-31 2017-02-21 Qualcomm Incorporated Metal-insulator-metal (MIM) capacitor in redistribution layer (RDL) of an integrated device
US9373582B1 (en) * 2015-06-24 2016-06-21 International Business Machines Corporation Self aligned via in integrated circuit
US9711464B2 (en) 2015-09-23 2017-07-18 International Business Machines Corporation Semiconductor chip with anti-reverse engineering function
US9536832B1 (en) * 2015-12-30 2017-01-03 International Business Machines Corporation Junctionless back end of the line via contact
US10164003B2 (en) * 2016-01-14 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. MIM capacitor and method of forming the same
EP3389085B1 (en) 2017-04-12 2019-11-06 Nxp B.V. Method of making a plurality of packaged semiconductor devices
CN110648960B (zh) * 2018-06-27 2021-12-28 中电海康集团有限公司 Mram器件与其制作方法
KR20200051215A (ko) * 2018-11-05 2020-05-13 삼성전기주식회사 인쇄회로기판 및 이를 포함하는 패키지 구조물
KR20210009493A (ko) 2019-07-17 2021-01-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN112885781B (zh) * 2019-11-29 2022-06-24 长鑫存储技术有限公司 有源区的制备方法及半导体器件
US11049764B1 (en) * 2019-12-12 2021-06-29 United Microelectronics Corp. Method for fabricating a semiconductor device
US11195792B2 (en) * 2020-01-10 2021-12-07 International Business Machines Corporation Top via stack
US11876047B2 (en) * 2021-09-14 2024-01-16 International Business Machines Corporation Decoupled interconnect structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW502383B (en) * 2001-06-15 2002-09-11 United Microelectronics Corp Fabrication method of dual damascene structure without trench-filling material
US20030178666A1 (en) * 2002-03-21 2003-09-25 Ki-Young Lee Semiconductor device with analog capacitor and method of fabricating the same

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6143646A (en) * 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6143640A (en) * 1997-09-23 2000-11-07 International Business Machines Corporation Method of fabricating a stacked via in copper/polyimide beol
US6204166B1 (en) * 1998-08-21 2001-03-20 Micron Technology, Inc. Method for forming dual damascene structures
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6187663B1 (en) * 1999-01-19 2001-02-13 Taiwan Semiconductor Manufacturing Company Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
KR100280288B1 (ko) * 1999-02-04 2001-01-15 윤종용 반도체 집적회로의 커패시터 제조방법
US6429119B1 (en) * 1999-09-27 2002-08-06 Taiwan Semiconductor Manufacturing Company Dual damascene process to reduce etch barrier thickness
JP3359622B2 (ja) * 2000-01-06 2002-12-24 松下電器産業株式会社 配線形成方法
US6466427B1 (en) * 2000-05-31 2002-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic capacitor structure compatible with copper containing microelectronic conductor layer processing
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
CN1405877A (zh) * 2001-09-18 2003-03-26 矽统科技股份有限公司 在镶嵌制程中形成金属电容器的方法及其产品
JP2003158190A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6589881B2 (en) * 2001-11-27 2003-07-08 United Microelectronics Corp. Method of forming dual damascene structure
DE10161285A1 (de) * 2001-12-13 2003-07-03 Infineon Technologies Ag Integriertes Halbleiterprodukt mit Metall-Isolator-Metall-Kondensator
KR100411026B1 (ko) * 2001-12-15 2003-12-18 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
JP2003249547A (ja) * 2002-02-22 2003-09-05 Mitsubishi Electric Corp 配線間の接続構造及びその製造方法
US6828245B2 (en) * 2002-03-02 2004-12-07 Taiwan Semiconductor Manufacturing Co. Ltd Method of improving an etching profile in dual damascene etching
CN100375265C (zh) 2002-04-02 2008-03-12 陶氏环球技术公司 用于图形化双波纹互连的三层掩膜结构
US6797630B1 (en) * 2002-06-28 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Partial via hard mask open on low-k dual damascene etch with dual hard mask (DHM) approach
JP2004061665A (ja) * 2002-07-25 2004-02-26 Hitachi Ltd 半導体装置の製造方法
US6794262B2 (en) * 2002-09-23 2004-09-21 Infineon Technologies Ag MIM capacitor structures and fabrication methods in dual-damascene structures
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
JPWO2004061947A1 (ja) * 2002-12-27 2006-05-18 富士通株式会社 半導体装置、dram集積回路装置およびその製造方法
JP2004214566A (ja) 2003-01-08 2004-07-29 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP2004273739A (ja) 2003-03-07 2004-09-30 Seiko Epson Corp 半導体装置の製造方法
JP2004273939A (ja) * 2003-03-11 2004-09-30 Toshiba Corp 半導体装置およびその製造方法
CN100341135C (zh) * 2003-03-28 2007-10-03 富士通株式会社 半导体装置
US6949442B2 (en) * 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6946391B2 (en) * 2003-09-08 2005-09-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming dual damascenes
JP2005150237A (ja) 2003-11-12 2005-06-09 Toshiba Corp 半導体装置及びその製造方法
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
US20050266679A1 (en) * 2004-05-26 2005-12-01 Jing-Cheng Lin Barrier structure for semiconductor devices
KR100755365B1 (ko) * 2005-02-15 2007-09-04 삼성전자주식회사 엠. 아이. 엠 커패시터들 및 그 형성방법들
US20060197183A1 (en) * 2005-03-01 2006-09-07 International Business Machines Corporation Improved mim capacitor structure and process
US7238619B2 (en) * 2005-07-06 2007-07-03 United Microelectronics Corp. Method for eliminating bridging defect in via first dual damascene process
US7602068B2 (en) * 2006-01-19 2009-10-13 International Machines Corporation Dual-damascene process to fabricate thick wire structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW502383B (en) * 2001-06-15 2002-09-11 United Microelectronics Corp Fabrication method of dual damascene structure without trench-filling material
US20030178666A1 (en) * 2002-03-21 2003-09-25 Ki-Young Lee Semiconductor device with analog capacitor and method of fabricating the same

Also Published As

Publication number Publication date
WO2007084982A3 (en) 2007-11-29
CN101366116A (zh) 2009-02-11
TW200809923A (en) 2008-02-16
US8236663B2 (en) 2012-08-07
US9171778B2 (en) 2015-10-27
EP1974379A2 (en) 2008-10-01
CN101366116B (zh) 2012-09-26
WO2007084982A2 (en) 2007-07-26
US7602068B2 (en) 2009-10-13
US20120190164A1 (en) 2012-07-26
US20140151899A1 (en) 2014-06-05
EP1974379A4 (en) 2011-06-01
JP2009524257A (ja) 2009-06-25
US8753950B2 (en) 2014-06-17
US20070190718A1 (en) 2007-08-16
WO2007084982A8 (en) 2008-07-10
US20100009509A1 (en) 2010-01-14

Similar Documents

Publication Publication Date Title
TWI397948B (zh) 製造厚導線結構之雙鑲嵌製程
US6177329B1 (en) Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
US8450200B2 (en) Method for stacked contact with low aspect ratio
US6426249B1 (en) Buried metal dual damascene plate capacitor
JP3895126B2 (ja) 半導体装置の製造方法
KR100588373B1 (ko) 반도체 소자의 형성 방법
US20060019485A1 (en) Multi-layer wiring structure, semiconductor apparatus having multi-layer wiring structure, and methods of manufacturing them
JP2001338978A (ja) 半導体装置及びその製造方法
US9412651B2 (en) Air-gap formation in interconnect structures
CN111211092A (zh) 半导体结构及其形成方法
JP2003007850A (ja) 半導体装置及びその製造方法
JP2004079924A (ja) 半導体装置
KR101153224B1 (ko) 다마신 공정에 의해 형성된 캐패시터와 금속 배선을 갖는 반도체 소자 제조방법
KR100723524B1 (ko) 금속 화학기계적 연마과정에서 절연막 침식이 감소된반도체 소자 및 그의 제조방법
JP2004172337A (ja) 半導体装置およびその製造方法
KR100955838B1 (ko) 반도체 소자 및 그 배선 제조 방법
US7901976B1 (en) Method of forming borderless contacts
JP2006310894A (ja) 半導体装置及びその製造方法
JP2004296620A (ja) 半導体装置の製造方法
KR100383084B1 (ko) 반도체 소자의 플러그 형성 방법
KR100682246B1 (ko) 반도체 소자 및 그 제조방법
WO2008031255A1 (fr) Procédé de recouvrement au nitrure de silicium à auto-alignement pour un trou de contact sans bordure basé sur la technologie du cuivre
KR20040011252A (ko) 반도체 소자 및 그 제조 방법
KR20060078665A (ko) 반도체 소자의 형성 방법
JP2000068275A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees