TWI338323B - Stage device, exposure device and manufacguring method of devices - Google Patents

Stage device, exposure device and manufacguring method of devices Download PDF

Info

Publication number
TWI338323B
TWI338323B TW092135501A TW92135501A TWI338323B TW I338323 B TWI338323 B TW I338323B TW 092135501 A TW092135501 A TW 092135501A TW 92135501 A TW92135501 A TW 92135501A TW I338323 B TWI338323 B TW I338323B
Authority
TW
Taiwan
Prior art keywords
slider
platform
grating
axis
stator
Prior art date
Application number
TW092135501A
Other languages
English (en)
Other versions
TW200421445A (en
Inventor
Yuichi Shibazaki
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Publication of TW200421445A publication Critical patent/TW200421445A/zh
Application granted granted Critical
Publication of TWI338323B publication Critical patent/TWI338323B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70758Drive means, e.g. actuators, motors for long- or short-stroke modules or fine or coarse driving
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70766Reaction force control means, e.g. countermass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Linear Motors (AREA)
  • Reciprocating, Oscillating Or Vibrating Motors (AREA)

Description

B38323 4 12838pifl ° 爲第92135501號中文說明書無劃線修正本 修正日期:99年10月4曰 六、發明說明 【發明所屬之技術領域】 本發明係關於平台裝置與曝光裝置,以及元件製造方 法,更詳細係關於具有平板與,沿該平板移動之滑塊(slider) 的平台裝置,具有該平台裝置之曝光裝置,以及使用上述 曝光裝置之元件製造方法。 【先前技術】 近年來,在製造半導體元件、液晶顯示元件等之微影 • (lith〇graPhy)製程,係多使用使光罩(mask)或光柵 (reticle)(以下總稱為「光柵」)與晶圓(wafer)或玻璃基板等 之感光物體(以下總稱為「晶圓」)沿所定掃描方向(sean方 向)一面加以同步移動,一面使光栅之圖案(pattern)經由投 影光學系統轉印於晶圓上的步進掃描(step . and · scan)方 式之知4¾型曝光裝置(所謂知描式步進機Scanning· stepper) 等,此種掃描型曝光裝置比起步進機(stepper)等之靜止曝 光型的裝置時,可使大區域(fldd)以較小之投影光學系統 • 加以曝光。因此,投影光學系統之製造變成容易之同時, 由於以大區域曝光之照射數減小可以期待高生產率 (throughput) ’對於投景戍學系統使光柵及基板藉由相對掃 , 描時具有平均化效果,具有可期待變形(dist〇rti〇n)或焦點 /木度之提昇等的優點(merit)。 ’ /而’以掃描型曝光裝置時,除了在晶圓側之外,在 也必要有使驅動光栅之驅動裝置。在最近之掃描型 . '置’於細侧之驅練置,係使用具㈣栅粗調平 12838pifl 爲第犯跑⑴號中娜月書無劃線修正本 修正日期:99年10月4曰 台(stage)與光栅微調平台之粗微調構造的光柵平台(⑽他 stage)裝置。其中,光柵粗調平台,係在光柵平板上由以空 氣轴承(airbearing)等加以浮動支持、配置於與掃描方向直 交之非掃描方向的兩側之一對線性馬達(linear m〇t〇r),在 掃描方向以所定行程範圍加以驅動。光栅微調平台,係對 於該光柵粗調平台,在掃描方向、非掃描方向及左右搖動 (yawing)方向以音圈馬達(voicec〇il m〇t〇r)等加以微少驅 動。 又,為極力抑制因應光栅平台之驅動在線性馬達之定 子(stator)所產生之反力不要成為光柵平板之震動要因或姿 勢變化之要因’受上述反力’按照動量恒守定律_ 〇f conservation of momentum),例如,也有在光柵平台之掃描 方向所設之雜馬達之定子[線性導板(lineai>卽蝴設置 具有移動於與光栅平台相反方向之平衡質量(議如 mass)(錘構件)的平衡質量機構之光柵平台裝置。 可是,在習知之掃描型曝光裝置所採用之光柵平台裝 置,以下之種種應加以改善的問題點存在。 (1) 由於在設有微調平台驅動用之馬達定子的定子台架 (carrier)與平板之間有側導板(触guide),光插微調平台(光 柵)之非掃描方向的位置決定時之反力與左右偏搖力距 (yawmg moment)’以及絲調平纟之驅動時所產生之力 距’以經由侧導板傳平板,以此成為平板之震動要因, 結果使光栅之位置控制精度(包含位置決定精度)惡化。 (2) 在光柵微動平台及光柵粗動平台係連接電流供給用 t558323 12838ρίΠ 修正曰期:99年】〇月4日 爲第921355GI料文翻書細線修正本 之配線或真空夹盤(vacuum chuck)所用之真空 空氣軸承之供給加壓空㈣的配管等,由於此等平台係對 拖拉配線、配管之狀態加以雜,此等配線、配管之張力以 結果成為使光柵之位置控制精度(包含位置決定精度)惡化
(3) 由光栅微調平台周邊之機械震動、熱要因的平台拉 曲成為光栅微調平台之位置檢測誤差的要因。對於其2 例,如圖12A所示,以經由設在光栅微調平台rst之 動鏡169使光栅微調平台RST(光栅R)之位置以具有測長 轴LX之干涉儀加以測定之場合加以考慮。在此種場合, 在光柵平台RST產生如圖12B所示之變料,在由干涉儀 所檢測之位置資訊成為產生雇的檢測誤差(一種阿貝 (abbe)誤差)。尚且,在圖12Α、12Β符號cr,係表示光樹 微調平台RST之中立面(彎曲中立面)。 (4) 更且’光栅微動平台之變形成為移動鏡之變形(蠻曲) 要因’導S光柵軸平台之位置制精度之降低 ,進而位 置控制精度之降低。 (5) 又’特別在具有平衡質量機構之光柵平台裝置,使 平衡質量(錘構件)與光栅平台之質纽確絲分變大成為 困難。其理由係在上述習知平衡質量機構平衡質量需要 在線導板之轴上配置重心的關係,為增大平衡質量之質 量,需要使平衡質量加以延長於線性導板之軸方向,或以 線性導板為中心在轴直交面内使放射方向之距離一律變 大’在設計佈置之理由上’自然有所限制之關係。如此由 6 12838pifl 12838pifl 爲第92135501威中文說明書無劃線修正本 修正臼期:99年1〇月4臼 於難加確保使平衡質量(鐘構件)與光柵平台之 變大,平«量之行㈣A,不能忽視拖拉崎 ^刀 =由重心移動之局部物體變形,成為位置控制性之^要 (6)其他,在光栅平台之周邊構件之配置、形狀 係成為進入内面之開放空間的關係,空調效 ΐ較差,L祕動(线溫鋪)等成為好涉儀檢測精 度’進而使光柵之位置控㈣度惡化之要因。更且 用F2雷射等之^料光鱗光献場合 = 加以取代’目上述光栅平纟之周邊構件的配置、形狀等之 複雜化的關係,其設計變成為非常困難。 【發明内容】 ' 本發明係在有關該些情形下所進行者。其第一目的 係’特別,提供-種平台裝置可以謀求載置物體之移動體 的位置控制性之提昇。 又,本發明之第二目的係提供一種曝光裝置,可以 實現高精度之曝光。 又,本發明之第三目的,係提供一種元件製造方法, 可以提昇高集積度之元件生產性。 由請專利範圍第1項所述之發明係一種平台裝置, 其特徵在於包括平板(16)、滑塊(RST)、框狀構件(18)、第 一驅動機構及第二驅動機構。其中,滑塊(RST),係一面在 上述平板之上方浮動一面支撐物體(R)並可以沿上述平板 «38323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年1〇月4曰
移動於包含第一軸及與此直交之第二轴的二維面内之三自 由度方向。框狀構件(18),係浮動於上述平板之上方至少 具有上述一維面内之二自由度,並圍繞上述滑塊。第一驅 動機構件包含第一定子(136i、1362、138】、1382)與第一可 動元件(26ι、262、28!、282),其中,第一定子(136ι、1302、 138丨、1381 2)係δ又在上述框狀構件,第一可動元件(26丨、262、 抓、280係與該第-定子加以協動產生使上述滑塊加以驅 動於上述第一軸方向之驅動力。第二驅動機構係包含第二 定子(140!、14〇2)與第二可動元件(3〇) ’其中,第二定子 (_、係設在上述框狀構件’第二可動元件(3〇)係與 上述第二定子協動產生使上述滑塊於上述第二轴方向 之驅動力。 —在此,料射動純之「肋」係奴子與可動 兀件之間進彳了某物理交互仙(例如電交互作料),產生 雜動力之意義。在本說明書以此種意義加以使用協動之用 語0 依此種情形,滑塊係-科缺平H 物體並可㈣上述平板移動於包含第—減與此直交= 一軸的二維面内之三自由度方向,框狀構 之上方至少具有上述二維内之h f⑽十扳 8 1 广在滑塊係加以設第-可動元件、 2 第一了動疋件,第—可動元件係與第子加以 =塊驅祕第-轴方向之驅動力,第二可動元件盘#二 疋子加以協動產生使滑塊驅動於第二軸方向之驅動ϋ 1338323 12838pifl 鱗™___本 修正賺99年日 二第::動機構或第二驅動機構加以驅動於第 於第一定二?Γ按照其驅動力之反力產生(作用) 依昭動Sit 反力之作用框狀構件係大略 依照動里值守定律移動於二維面内之三 框狀構件充作平衡質量之工作。 2^1 構件之移動,可大略完全消^^於精由框狀 含滑塊及框狀構件之系統的重心移動,無: 】。:此,可謀求載置物體之滑塊的位置控制:之提昇。 又,此種場合,由於框狀構件係以 關係,所以必然成為大型化,其質量變置之 ”大質董比,極狀構件之移動行程 夠。又,使框狀構件大型化之場合, 就足 、在此種場合,第一驅動機構、第二驅機^ 雖然可考慮種種樣式,例如在申請專利 之 平台裝置’上述第一驅動機構,係包含至少圍兩:2線= 上述第一驅動機構係包含一個音圈馬達。 在^述申請專利範圍第!項及第2項所述 置,係如申請專利範圍第3項所述之平台裝 十〇衷 2儀系統_加以檢測上述滑塊之位置:上述 中面之-部分形成上述物體之載置面的同時 述干涉儀纽之測長光束喊路之直交於上述二維 二轴方向之位置-致於上述中立面之位置1於播 t在上述之使用圖Ι2Β之說明,可使起因於中立面= 長軸之偏移所產生的位置檢測誤差ΔΜ大略為零。、、 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年1〇月4日
申請專利範圍第4項所述之發明,係一種平台裝 置,其特徵在於包括平板(16)、滑塊(RST)及驅動機構。^ 中,滑塊(RST)’係一面浮動於上述平板之上方一面支撐物 體(R)並沿上述平板移動。驅動機構係具有各一 件(m聲與-對之^子部(36 對之可動元件(26】、262、28】、28:0,係在上述滑塊之載置 上述物體的區域之直交於第一軸方向的第二軸方向之一側 與他侧以上述滑塊之中立面為基準個別對稱配置,一對定 子部(36、38)係與該各可動元件個別協動以個別產生上述 第一軸方向之驅動力。 依此種情形時,使滑塊驅動之驅動機構,具有在滑 塊之載置體的區域之第二軸方向的一侧與他側以滑塊之$ 立面為基準以個別對稱配置之一對可動元件與,以與該各 :動元件個別協動以個別產生第一軸方向之驅動力 >的二對 夂子部。即,在第二抽方向之一側、他側的任何側,也成 為可動元件、滑塊,可動元件之疊積構造的同時,其可動 元件彼此,係關於滑塊之中立面成為對稱之配置。此種場 合,滑塊之中立面,係大略一致於其重心之高度位置(直交 於第一軸及苐二抽之第三軸方向的位置)的關係,由與左右 各一對之可動元件所對應之定子協動所產生之第一^方向 的驅動力之合力,成為作用於滑塊之重心位置。因此,可 進行滑塊之至少在第一轴方向之位置控制性的提昇及滑 塊之弟一轴周圍的回轉抑制。 又’例如,在可動元件由電樞單元構成之場合使 1338323 12838pifl 爲第删_巾纖綱咖本 to賺料關4日 驅Γ第一轴方向之際,雖然供給電流於可動 使滑塊加熱時,在其韻部分,起 =1ΐΓ'Τ下側所產生之雙金屬效果的滑塊之變 t皮此 結料產生雙金屬絲利起之滑塊 變形。 ^此’特別’使滑塊之位置經由設麵塊之反射面 由干,乂儀加以檢測之場合’可使其位置控制性成為良好。 在此場合,如申請專利範圍第5項所述之平台裝 置’上述-對之定子部’細上述滑塊之中立面為基準可 個別對稱配置。 申請專利範圍第6項所述之發明,係一種平台裝 置,包括平板16、滑塊(RST)、驅動機構及干涉儀^統 (69X、69Y)。其中,滑塊(RST)係一面浮動於上述平板之 上方一面支稽物體(R)並可以沿上述平板移動於包含第一 軸及與此第一軸直交之二維面内。驅動機構,係包含使上 述滑塊於上述第一轴方向驅動之線性馬達。干涉儀系統 (69X、69Y),係使測長光束照射於上述滑塊所設之反射 面,依據其反射光檢測上述第一軸方向及第二軸方向之位 置。其特徵在於,從上述平涉儀系統之上述第二軸方向之 測長光束照射的反射面(124m),係設置在比上述線性馬達 較外側位置之上述滑塊的一部分。 依此種情形時,從干涉儀系統之第二軸方向之測長 光束所照射之反射面,由於係設於比使滑塊於第一轴方向 驅動之線性馬達較外側,雖然起因於線性馬達之發熱在該 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年10月4日 線性馬達周邊之空氣產生溫度之搖動, 向之測長光束不產生任何影響,可以古二轴方 j以同精度加以實行由+ 滑塊的第二軸方向之位置檢測。此種場合 ^第-軸方向的測長光束,係與通常同樣,^ 上述線性馬達之賴的影響場狀反射 ^檢測滑塊之第-軸方向及第二軸方向的 4求滑塊之位置控制性的提昇。 找=場合’如申請專利範圍第7 ί ’上述反射面,係形成於與上述滑塊之載置上述= 广部分以外之另外的所定長度之棒狀第二部分=的 在該第二部分除去其長度方向之兩机=面’ (124b) ’使該補強部之兩端經由 鏈° =置補強部 連結於上述第-部分。岭认鏈部(咖、124d) 部分係全彈性_部、及第二 ,刀秘J以口王^為—體形成,也可使任 另外構件形成’也可以全部為個別構件以形成。〜、他之 在上述t專·項至第7韻述 置,如申請專利範圍第8項所述之平 ^千°裝 =-軸方向的—側以及他側之端部係設忿述抽骨二 向的延設部(24Cl、24C2、2叫、24D2),從二 之延設部在所有長度方向之全 以供給加壓氣體。 迂乳體靜壓軸承 12 1338323 12838pifl 爲第92135501號中文說明書無劃雌正本 修正賺99年K)月4日 在申請專利範圍第9項所述之發明,係一種曝光裝 置使光置(R)與感光物體(W)以同步移動於所定方向將形成 於上述光罩之圖案轉印於上述感光物體,其特徵在於 照明單元(IOP)、平台裝置及投影光學系統單元(pL)。其 中,照明單元(IOP) ’係由照明光(IL)照射上述光罩。平二 裝置’係上述光罩載置於上述馳上之中請專鄕圍第口! 項至第3項之任何一項所述之平台裝置。投影光學系統單 兀(PL),係將從上述光罩所射光之上述照明光投射於上 感光物體上。 依照此種情形時,由於具有申請專利範圍第丨項至 第3項中任何一項所述之平台裝置,可提昇滑塊之位置控 制精度,進而提昇光罩之位置控制精度。因此,可使形成 光罩之圖案以高精度轉印於感光物體。 在此種場合,如在申請專利範圍第1〇項所述之曝光 裝置,包含上述照明單元與上述投影光學系統單元之間的 上述照明光之光路的空間,係成為以吸收上述照明光之特 性比空氣較小的特定氣體加以清除之清潔空間之同時,上 述框狀構件,係可兼為使上述清潔空間對外界大氣加以隔 離之隔離壁。關於此種場合,與習知相異,可使光罩周邊 之空間容易成為清潔空間。 在此種場合,如在申請專利範圍第u項所述之曝光 裝置,在位置於從一述干涉儀系統向上述清潔空間内之上 述滑塊之測長光束的光路上之上述框狀構件的侧面部分形 成開口部(18b、18c)之同時,也可以設置封閉該開口部之 1338323 12838pifl J 爲第92135501號中文說明書無劃線修正本 修正日期:99年1〇月4日 蓋玻璃(cover glass) (gi、g2) 〇 在上述申請專利範圍從第9項至第n項所述之各曝 . 光裝置,如申請專利範圍第12項所述之曝光裝置,可在上 述框狀構件之上述照明單元側之面及其相反側之面,能以 所有大略全周設置使用上述特定氣體為加壓氣體之氣體靜 . 壓軸承或以同時進行真空吸收與加壓氣體之喷出的差動排 氣型之氣體靜壓軸承。 在此種場合’如申請專利範圍第13項所述之曝光裝 瞻置’更再包括平板(plate)14,係以經由所定間隙(ciearance) 配置在對向於上述框狀構件之照明單元側之面的大略全 面’使從上述氣體靜壓軸承之加壓氣體加以噴上。 申請專利範圍第14項所述之發明,係一種曝光裝 置,使光罩(R)與物體(W)以同步移動於所定方向將形成於 上述光罩之圖案加以轉印於物體的曝光裝置,其特徵在於 包照明單元(IOP)、平台裝置及投影光學系統單元(PL广其 中,照明單元(IOP)係以照明光(IL)照明上述光罩。平台裝 _ 置’係以上述光罩為上述物體而載置於上述滑塊上之申請 專利範圍從第4項至第8項中之任何一項所述之平台裝 置。投影光學系統單元(PL)係使從上述光罩所射出之:述 照明光投射於上述物體上。 依照此種情形時,具有申請專利範圍第4項至第$ • 項中之任一項所述之平台裝置的關係,可提昇滑塊之位置 控制精度,進而提昇光罩與物體之同步精度。因此,可使 形成於光罩之圖案以高精度轉印於物體。 1338323 12838pifi 爲第92135501號中文翻魏_修正本 修正日期:99年丨0月4曰 申請專利範圍第15項所述之發明,係包含微影製程 的兀件製造方法,其特徵在於,上述微影製程係以使用申 請專利範圍第9項至第14項中之任何一項所述之曝光裝置 曝光的元件製造方法。 申π專利乾圍第16項所述之發明,係一種平台裝 置,其特徵在於包括滑塊(RST)、一對第一可動元件(2^、 262)、一對第二可動元件(28ι、282)及一對定子部(36、38)。 其中,滑塊(RST)係在載置面載置物體(R)並可移動。一對 第-可動元件伽%)係對於上述滑塊之上述載置面對稱 配置。一對第二可動元件(28l、282)係與上述一對第一可動 元件相異’對於上述滑塊之上述載置面 子卵6、,係與上述-對[可動元件與f述一 ^ 二可動兀件協動’以使上述滑塊於第—軸方向驅動。 申請專利範圍第17項所述之平台裝置,其特 於,上述載置面係與上述滑塊之令立面一致。、 申請專利範圍第18項所述之平台裝置 上述-對定子部之各個係對於上述載置面加=: 申請專利範圍第19項所述之平台裝置,其 ^ ^包括具有連接於上述滑塊之第—部 與,與該第-部分加以協動之第二部分(62i、622、;2'〇:) =述滑塊加以驅動於與上述第一軸相異之第二軸_ 申請專利範圍第20項所述之平台襞置,其特徵在 1338323 12838pifl , 爲第鶴㈣中文_難雛脈本 赃日期·爾關4日 於,係包括框狀構件(18)加以支撐上述一對定子部與上述 ' 驅動裝置之上述第二部分。 • 申睛專利範圍第21項所述之平台裝置,其特徵在 於,上述框狀構件係藉由使上述滑塊驅動時所產生反力加 以驅動。 . 申請專利範圍第22項所述之平台裝置,其特徵在 於’上述滑塊係設於平板(16)上。 申請專利範圍第23項所述之平台裝置,其特徵在 _ 於’上述框狀構件係設於上述平板上。 申清專利範圍第24項所述之平台裝置,其特徵在於 包括在上述滑塊具有反射面(124m),使測長光束照射於該 反射面以檢測上述滑塊之上述第二軸方向的位置之位置檢 測裝置(69X)。 申明專利純圍第25項所述之平台裝置,其特徵在 於,上述一對定子部係個別設置於不包圍上述測長光束之 位置。 申請專利範圍第26項所述之發明係一種平台裝 置,其特徵在於包括滑塊(RST)、驅動裝置、平衡質量(18、 152、154)、反射構件丨以細)及位置檢測裝置(69X)。其中, 滑塊(RST) ’係加以支撐物體(R)並可移動。驅動裝置係 ' 具有連接於上述滑塊之移動元件(26】、26z、28!、282),與 ^ 該移動元件協動之定子(36、38),以使上述滑塊沿第一^ 方向驅動。平衡質量(18、152、154)係具有支撐上述定子 之支撐部(152、154)與重量部(18),由使上述滑塊驅動時所 12838pifl 修正曰期:99年10月4曰 爲第92135501號中文說明書無劃線修正本 產生之反力加以驅動。反射構件(124m)係以位置於上述重 量部與上述定子之間的狀態而設置在上述滑塊上。位置檢 測裝置(69X),係使測長光束加以照射於上述反射構件以檢 測上述滑塊之位置。 申請專利範圍第27項所述之平台裝置,其特徵在 於’上述滑塊係在上述滑塊之中立面具有載置上述物體之 載置部。 申請專利範圍第28項所述之平台裝置,其特徵在 於’上述滑塊係設於平板(16)上。 申請專利範圍第29項所述之平台裝置,其特徵在 於’上述平衡質量係設於上述平板上。 申睛專利範圍第30項所述之發明係一種平台裝 置,其特徵在於包括滑塊(RST)、驅動裝置、平衡質量(18、 152、154)及位置檢測裝置(69χ)。其中,滑塊(RST),設有 反射構件(124m) ’以支撐物體並可移動。驅動裝置,係具 有連接於上述滑塊之移動元件(26ι、262、28丨、282),以及 與該移動元件贿之定子(36、38),錢上述滑塊沿第一 轴方向驅動。平衡質量(18、152 ' 154)係具有透明部〇8b), ^樓上述定子並藉由驅動上述滑塊時所產生之反力加以驅 動。位置檢測裝置(69X),係經由上述透明部使測長光束昭、 射於上述反射構件以檢測上述滑塊之位置。 申Ϊ專利範圍第31項之平台裝置,其_在於,上 边滑塊之中立面具有載置上述物體之載置部 1338323 I2838pifl 爲第92135501號中文說明書無劃線修正本修正日期:99年10月4日 申請專利範圍第32項之平台裝置,其特徵在於,上 述滑塊係設置於平板(16)上的平台裝置。 申請專利範圍第33項之平台裝置,其特徵在於,上 述平衡質量,係設置於上述平板上的平台裝置。 為讓本發明之上述原理和其他目的、特徵和優點能 更明顯易懂,下文特舉一較佳實施例,並配合所附圖式, 作詳細說明如下: 【實施方式】 以下’依照圖1〜圖9說明本發明之一實施例。 圖1係表示關於一實施例之曝光裝置10的概略構成 圖。此曝光裝置10 ’係為步進掃描方式之掃描型曝光裝 置,即’所謂掃描曝光裝置。如在後述本實施例,係設有 投影光學系統單元(unit)PL,在以下,係以構成此投影光學 系統P L之投影光學系統的光轴AX方向為Z軸方向(第三 轴方向)、在與此直交之面内,作為光罩(及物體)之光柵R 與作為感光物體之晶圓R的相對掃描之方向為γ轴方向 (第一軸方向)’以直交於此等乙軸及軸之方向為X軸方向 (第二轴方向)進行說明。 此曝光裝置10 ’係使照明單元IOP、光柵r以所定行 程驅動於Y軸方向之同時,具有光柵平台裝置12、晶圓平 台wst、及控制系統等。其中,光柵平台裝置12,係以 微少驅動於X軸方向、γ轴方向及02方向(z軸周圍之回 ,方向)、晶圓平台WST,係使投影光學系統單元PL、晶 圓W在χγ平面内驅動於χγ之二維方向,控制系統,係 12838pifl 修正曰期:99年10月4日 爲第92135501號中文說明書無劃線修正本 對此等加以控制。 上述照明單元IOP ’係包含光源及照明光學系統,以高 能射線束(energy beam)之照明光IL加以照射由其内部所 配置之視野光圈(也稱為遮蔽片(masking blade)或光柵隱蔽 (reticle blind)所規定之矩形或圓孤狀的照明區域,以均一 照度加以照明形成電路圖案之光柵r。與照明單元I〇p同 樣之照明系統’係例如提案於日本專利特開平6 3497〇丨號 公報。在此,照明光IL係使用ArF準分子雷射(exdmer iaser) 光(波長193nm)或F2電射光(波長i57nm)等之真空紫外 光。尚,且對照明光IL,也可使用KrF準分子雷射光(波 長248nm)等之运紫外光、從超高壓水銀燈之紫外域的輝線 (g線、i線等)。 了疋以真二紫外域之波長光為曝光光的場合,需要 從ί光路獅氧、水蒸氣、碳化氫系之氣料的對於該波 長帶域之光具有吸收特性之氣體(以下,稱為「吸收性氣 體J)。因此,對於本實施例,在照明單元Ι〇ρ之内部的照 明光IL之光路±的空間’充滿具有對於真空 的 ,收,空氣難少之特性的特定氣體例如氮、二=、 汉、乳等之稀有氣體,或此等之混合氣體(以下 低 吸收性氣體」)。此結果,照明單元ι〇ρ内之空 吸收性氣體之濃度係成為數聊以下之濃度。的 裝置12 ’係配置於圖1之照明系統側板 早7GIOP之下端部的外周具有以經由〇形環 Π38323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年10月4曰 (f-ring)等之密封㈣)構件99所連接之環狀配件部⑼ 平板。照明系統側板14’係以大略水平由未圖示盖 概在中央部位形成矩形一為照』 光柵平台裝置12,係從圖1及圖2之光拇平台裝 的斜視圖可知,具有光栅平台平板16、光拇平、 口千板16,係在上述照明系統側板14之下方 隔以大略平行配置以作為平板。光柵平台rst,係配置ς 该先栅平台平板16與照明系統側板Μ , ο。框狀構件18,係以圍繞該光栅平台=== 配置於光柵平台平板16與照明系統側板14之間 = 台驅動系統’係驅動光栅平台RST。 欢我光^平台平板16 ’係由未之支承構件加以支承大 略為水平。此光鮮台平板16,壯圖2之分 圖3所不’係由大略為板狀之構件所構成大略 , H部^。在此突部16a之大略中央,係以向Ζ轴方 向相連狀U形成使照明光IL通過之以χ 方向的矩糊口心在光台平板16之下面側,
所不,以圍繞矩形開口 16b之周圍的狀態,經由V 或伸縮自如之波形管(bell〇WS)等的密封構件98連 接在杈衫光學系統單元PL之鏡筒部的上端。 么本體RST’如圖4A所示具有特殊形狀光柵平 口本體22及固疋於該光柵平台本體22之各種磁極單元(對 20
12838piH 爲第92135501戎中文說明書無劃線修正本 修正日期:99年10月4曰 此將於後述)等。 光栅平台本體22,係具有平面視(從上方所見)概略為 矩形之板狀部24A、設於該板狀部24A之一 X端部的反射 鏡部24B ’與從板狀部24A之Y轴方向的一側及他側之端 部各犬设於Y轴方向的各一對延設部24(^、24CZ、24Di、 24D2。 在上述板狀部24A,係使大略在中央部位成為照明光 IL之通路的開口,係形成為在其中央(内部底面)所形成之 成4又開口 22a,在該成段開口 22a之段部(一段下面之部 分)’係設從光栅R之下側以複數點(例如為三點)支持之複 數(例如為三個)光栅支持構件34。 在本實施例,光栅R’係使其圊案面(下面)成為以大略 一致於光栅平台22(光栅平台RST)之中立面CT之狀態, 由複數支持構件34加以支持。即,光柵尺之載置面(載置 部),係大略一致於光栅平台RST之中立面CT(參照圖4則。 又,各對應於各光柵支持構件34,在板狀部24A之光 柵支持構件34之近傍部分,係設減(例如為三個)之光拇 固定機構36。各光柵固定機構36,係各包括具有紅斷面 為L字形之形狀’以設在L字之角部之轴為中心可起伏回 動自如的裝設於板狀部24A之固定構件。各^^構件,係 在光柵R置於光柵支持構件34時,以經由由第】圖 台,制系統如卿動之未_之驅動機構各畴驅動於 所疋方向,糟賴光柵讀構件%間使光柵r加以失持, 可使光柵R以機械方式加以固定。此種場合,固定構件, 1338323 12838pifl , 爲第92丨35501號中文說明書無劃線修正本 修正日期:99年】〇月4日 也可採用由未圖示之施壓手段使光柵r向支持構件34側按 壓之方向加以經常施加壓力之構成。 尚且,以替代光柵支持構件34及光柵固定機構36,或 者與此一起,也可使甩真空夾盤或靜電夾盤等之各種夾盤。 上述反射鏡部24B,係總和圖4A及圖5A,具有以γ 軸方向為長度方向之概略角柱狀的形狀,包括在其中心部 分為企圖輕量化起見形成斷面圓形之空洞部CH(參照圖 4A)的棒狀部分124a,與除去該棒狀部分124a之長度方向 馨的兩端部部分之在+X侧以一體形成的中突之補強部 124b。棒狀部分124a之-X側端面係為施加鏡面加工之反 射面(反射構件)124m。 反射鏡部24B與板狀部24間,係如圖5A所示之由鈒 鏈(hinge)124c、124d的兩處局部連結。尚且,在實際上, 雖然包含板狀部24A與反射鏡部24B。鉸鏈部124c、124d 之光柵平台本體部22係以一體成形(例如,藉由切削出一 個構件以成开>),在以下之說明,為使說明易懂起見,視需 _ 要也使用以各部若似另外的構件之情形加以表現。當然, 也可使上述各部之任何一個為其他之另外構件加以構成, 也可使全部為個別的另外構件加以構成。 將此更再詳述時,如圖5A所示,反射鏡部24B,係在 ' 板狀部24A之_X端部,設於補強部124b之±Y側面之兩 • 處鉸鏈部124c、124d,即以經由回轉支點加以連結。此種 場合,連結兩個鉸鏈部124c、124d之線CS,係成為反射 鏡部24B之水平面内變曲時之中立面。 22 12838pifl 修正曰期:99年10月4日 爲第92135501號中文說明書無劃線修正本 藉此,由某些要因在反射鏡24B產生彎曲力矩之場合 如圖5B所示’僅在兩鉸鏈部i24c、124d之外側範圍(A及 A’)部份產生彎曲變形(撓曲)’對於在曝光中實際使用檢測 之範圍(範圍B) ’可大概確實抑制彎曲變形。 在本實施例,更再,如圖5A所示,從兩個鉸鏈部124c、 124d中之一方的鉸鏈部124c向+方向離開所定距離之位置 設同樣之鉸鏈部124e。藉此,如圖5B所示,雖然在板狀 部24A產生向Y軸方向伸延(Δ£)之場合,鉸鏈部124c與 敍鏈部124e間之部分124f,由於僅產生以鉸鏈部i24c為 中心可回轉部分的變形,對於反射鏡部24B之反射面i24m 由板狀部24A之變形所給與之影響成為可極力加以抑制。 即’由鉸鏈部124c、124e所夾之部分124f,係具有一種撓 曲(flexure)之機能。 在光柵平台本體22之板狀部24A的-Y側端部,如圖 4A所示,形成兩個凹部24gl、24g2,在該凹部24gl、24g2 之各個’係個別設置反射鏡(retro-reflector)32i、322。 在上述四個延設部24C丨、24C2、24D丨、24D2,係如圖 4A所示’具有概略板狀之形狀,在各延設部為提昇強度, 係設斷面三角形狀之補強部。在光栅平台本體22之底面, 係形成經過從延設部24C!至延設部24D!之之Y轴方向全 域的第一氣體靜壓轴承,形成經過從延設部24C2至延設部 24Dz之γ軸方向全域的第二氣體靜壓軸承。 其次’對於第一氣體靜壓轴承及二氣體靜壓軸承依據 圖6A及圖6B加以說明。 1338323 ιζο^οριιι 爲第92135501號中文說明書無剌線修正本 修邱期:99年1〇月4日 在圖6A,係表示從光柵平台 平面圖(底面圖)。從此圖6A可知,在見之 24Dl、及其間之部分的光柵平台本體22之1面^ 向之中央的溝^由幹 ί餘盖=數之表面喷轉规戶斤構成之供氣溝56。盆 :,幹溝55Α,係延伸於γ軸方向之τ字狀 盥 ”=5Β’係如圖6一線斷面圖的圖
”幹溝5Α之—方’係、形成比表面喷壓溝55Β較深。 方面,上述三個溝中所剩餘之兩個溝,係延伸於γ 轴方向之排氣溝57Α、57Β。此等排氣溝57Α、57Β,係且 有與上述幹溝55Α大略同一斷面形狀。 ^ -方面,如® 6B(及圖3)所示,以對向於此等三個溝 56、57A、57B之各個的至少—部分在光栅平台平板16, 於凸部16a之上面,係個別形成三個開口(58、59A、59b)。 此等三個開口中在中央位置之開口係為供氣口58,兩端位 置之開口係為排氣π 59Α、59Β。供^ 58,係經由圖6β 所不之供氣官路6G連接於未圖示之氣體供氣裝置。從氣體 供氣裝置係例如供給氦等之稀有氣體錢等低吸收性氣 體又’排氣口 59Α、59Β,係經由排氣管路61Α、61β 連接於未圖示之真空泵。 在本實施例,當從未圖示之氣體供給裝置經由供氣管 路60供給低吸收性氣體時,此低吸㈣氣體,係如圖6β Ά供氣口 58供給於供氣溝56之幹溝55Α,以通過該 幹溝55Α之Υ方向全域。當低吸吹性氣體更再連續供給 24 1338323 12838pifl 爲第92D5501號中文說明書無劃線修正本 赃日期:99年10月4日 時,從供氣溝56之複數表面噴壓溝55B使低吸收性氣體 喷壓光柵平台平板16之上面。 此時,如從真空泵經由排氣管路61A、61B進行吸引動 作時,在光柵平台RST與光栅平台平板16之間的氣體, 係以經由排氣口 59A、59B排出於外部。因此,從左右之 表面喷壓溝55B向排氣溝57A、57B之低吸收性氣體之氣 流’係在光柵平台RST與光柵平台平板16之間產生間隙, 藉由經常繼續流通一定流速、壓力之低吸收氣體,使上述 間隙内之加壓氣體的靜壓(所謂間隙内壓力)成為一定使 光栅平台RST在光柵平台平板16之間例如形成數叫程 度之間隙(clearance)加以維持。即,在本實施例,係以實質 亡加以構成,藉由在光柵平台本體22底面之部分所形成供 ,溝56、排氣溝59A、59B、供氣口 58、供氣管路60、排 氣口 59A、59B、排氣管路61八、_,使從未圖示之氣體 供給裝置之加壓氣體’由光柵平台平板16以不經由配管供 給之第一差動排氣型的氣體靜壓軸承。 ’、 也在包含延設部24Q、24α及其間之部分的光栅平台 本體22之底面,實質上構成由上述幹溝55八及複數表二 - 喷壓溝55B所構成之供氣溝56,與形成其兩側之排氣溝 、57B,包含此等三個溝以與上述同樣,使從未圖示之 =體供給|置之加壓氣體,由光栅平台平板16以不經由配 官加以供給之第二差動排氣型的氣體靜壓軸承。 的裔在本實施例’藉由從第一、第二差動排氣型 、乳體靜壓軸承的表面喷壓溝55Β以經由光柵平台平板 25 1338323 12838pifl 爲第·號中文說明書無劃線修正本 修正賺99年iG月4日 16之上面所嘴出之加愿氣體的靜壓與,與光柵平台 全體之自重的平衡’在光柵平台平板16之上面以經由數 μηι程度的間隙’使光栅平台咖以非接觸的浮動支承。 回到圖2,在上述框狀構件18之上面,係以 =略環狀之凹溝83、85。在此中之嶋狀二, 係在其㈣形成複數供氣口(未_),在外側之環狀凹溝 85 ’係形成複數排氣口(未圖示)。尚且,在以
環狀凹溝83為「供氣溝幻」、外側之環狀凹溝 氣溝85」。 饵马排 在供氣溝83之内部所形之供氣口,係以經由 供氣管路及供氣管連接於供給氮或财氣料之低吸收性 氣體,未圖示之氣體供給裝置’在排氣溝85之内部所形成 之排氣孔’仙經由未圖示之排氣管路及排氣管連接於 圖示之真空泵。 、 又,在此框狀構件18之底面,從使該框狀構件18以 上:反轉之斜視騎示的圖9可知,係雙重形成概略環狀 二溝82: 84。在此t之内侧環狀凹#82,係在其内部形成 複數供氣口(未_),在⑽之職凹溝84, 排氣孔(未圖示)。尚且,在以下稱内側凹溝82為「供氣溝 82」、稱外側環狀凹溝84為「排氣溝料」。 、 产在供氣溝82之内部所形成供氣口,係經由供氣管路及 供氣,連接於供給氮或稀有氣體等之低吸收性氣體的未圖 不之氣體供給裝置。又,在職溝84之内部所形成之排氣 口,係經由排氣管路及排氣管連接於未圖示之真空泵。” 26 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年10月4曰 I·多疋口别:yy平川闩4 b 因此,氣體給裝置與真空泵在動作狀態時,從框狀構 件18之底面所形之供氣溝82向光柵平台機台以之上面喷 出力壓氣體(低吸收性氣體),由此喷出之加壓氣體的靜壓 ^支承框狀構件18之自重,使框狀構件18在光拇平台 ^板16之上面的上方以經由數师程度之間隙加以浮動支 出吉’其_内H係以經由排氣溝84 力排出於外部。此種場合,從供氣溝82 大人之流動。因此’可有效果的阻止外界 大轧么由其間隙混入於框狀構件18之内部。 光柵5平^18之底面的全體,實質上的構成在 型的氣^靜壓軸承。穩支承框狀構件18之差動排氣 構件Ι’ΓΓΠΛ與真空系在動作狀態時,藉由從框狀 :加壓詩=供氣溝83向照日㈣統側板14之下面 與框狀構件上的同時,在照明系統側板丨4 醫以之間的間隙内 由所噴出之加壓氣护的赵『t士 又在此種%合,藉 :18之上_,實構=狀構狀構 又,在太营心永差動排氣型的氣體靜壓轴承。 、也例之場合,框狀構件18與光柵平 27 1338323 12838pih 爲第刪嶋麵雜麵瓣 粧日㈣年州日 16之間,上述間隙(即轴承間隙),係實際上由框狀 ^下^差動排氣型的氣體靜壓轴承加於框狀構牛 力’與框狀構件18全體自重之總合的平衡決定。之 丄如此,框狀構件18與照明系統側板14之間的間隙 ==板Γ且與:件18之間的間_^ 二統單元 連接C參照圖7、圖8)、由框狀構件所‘ =98 =成=常而氣密度之空間。以下,使由框狀構件^ -之空間’為方便起見稱為「氣密空間」。 圍 置,為避^使用真空紫外之曝光波長的曝光裝 时為避免由氧乳專吸收性氣體對曝光光之吸 至投影光學系統單元pL之光路,即對於= U内(之规)也必要以氮或稀有氣體取代。 〜此種场合,在框狀構件18之側壁也可以個別連接供 =排氣管、’並經由供氣管使低吸收性氣體供給於上述之 氣雄空間’並且經由排氣管使㈣氣體職於外部即可。 其他,也可採訂述之構成賴,即,使連接於框狀 八牛18之未圖不之供氣管内流之氮或稀有氣體之-部 乃經由在框狀構件18内從供氣管路之一部分所分岐 2氣支管流入上述氣密空間内以使氮或稀有氣體供給氣 =間内’另-方面’經由從排氣管路之一部分所分岐之 ^氣支管以使氣密空間内之氣體排氣之構成。以此方式 、,連同於上述氣密化,可以在支撐光柵R之空間内由曝 28 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正曰期:99年10月4曰 光光之吸收較少之氮或稀有氣體取代。 尚且’對供給於氣密空間之氣體使用氦氣體之場合, 回收經由氣體排氣機構之氦氣體,經去除不純物後,加以 再利用為宜。 上述光栅平台驅動系統,係如圖2所示,在框狀構件 18之内部’具有第一驅動機構與第二驅動機構。其中,第 一驅動機構’係包含個別架設於γ軸方向之一對定子單元 (一對定子部)36、38所構成之使光栅平台RST驅動於Y軸 方向之同時以微小驅動於θζ方向(z軸周圍之回轉方向)。 第二驅動機構,係包含在構件18之内部的一方之定子單元 38之+Χ侧架設於丫軸方向之定子單元40所構成,使光栅 平台RST以微小驅動於又軸方向。 /上述一方之定子單元36,係如圖3之分解斜視圖所示, 係包括—對Υ轴直線導板136,、1362與-對©定構件(支 撑部)152°其中’-對Υ軸直線導板136丨、1362,係以γ =為長度方向之由作為—對第—^子之電極(_ature) 1 斤構成。—對固定構件(支樓部阳,在Y轴方向(長度方 向)之-端部與他端部支樓此等γ軸直線導板%。 =種場合’藉由一對之固定構件152,在Z軸方向(上下方 向)以互相對向隔所定間隔並且個別平行於χγ面 πίϊίϊΓΓ 1362。—對之固定構件152係個別固定 於上述框狀構件18之内壁面。 上述Υ柄直線導板136l、%,係從圖3及圖7可知, …有由斷面矩形(長方形)之_性材料所構成之構架 29 1338323 12838pifl 修正日期:99年1〇月4日 所定間隔加以配設於 爲第92135501號中文翻書無劃線修正本 (frame)’在其内部’係使複數電柩以 Y軸方向。 上述他方之定子單元38也盥 地構成。即,定子單元38係具有、γ袖直 與一對之固定構件(支撐部)154。其中,丫轴2^、1382 係由以Υ轴方向為長度方向之作為 電樞單元所構成…對固定構件15 =
板一一間隔维持於Z轴方二固=: =對ϋ定構件丨54係個顧定於上物續件18 壁面。 上,Υ軸直線導板138l、1382,係與上述軸直線導板 136丨、1362以同樣加以構成(參照圖7)。 在Υ軸直線導板136Ρ138!與,Υ軸直線導向板%、 1382之間,係如圖7所示,係個別經由所定間隙配設光拇 平台RST。對向於γ軸直線導板136ι、1362,在光柵平台 RST之上面、下面,係個別埋入作為一對第一可動元件二 磁極單元26丨、26ζ ’對向於Υ軸直線導板138ι、1382,在 光柵平台RST之上面、下面,係各埋入一對第一可動元件 之磁極單元28i、282。 個別之磁極單元26!、262 ’係如圖4B所示,配置於上 述光栖平台22之板狀部24A之成段開口 22a的-X側,以 光栅平台本體22之中立面CT為基準在對稱之上下面側各 所形成之凹部24ei、24e2内。 此種場合,Y軸直線導板136丨、1362係以上述中立面 30 1338323 12838pifl 修正日期:99年10月4日 爲第921删1獅文_書_線修正本 CT為基準位置於大略對稱之位置 數對,極單A261、262係各具有磁性體構件與複 =磁鐵(field magnet)。其中,複數場磁鐵,係在該磁性 體構,之表面沿γ轴方向以所定間隔配置。複數場磁鐵, 係以鄰接之場磁鐵彼此成為相反磁性,因此,在磁極單元 26!之上方的空間沿γ財向形成交變磁場,在磁極單元 262之下方的空間沿γ軸方向加以形成交變磁場。
一以同樣’在上述之一對磁極單元28】、282,係如圖4B 所不’個別配置於上述光栅平台本體22之板狀部ΜΑ之 成段開π 22a的+X側,以光柵平台本體22之中立面ct 為基準在對稱之上下_個別形成之凹部辦、瑪内。 又’-對磁極單it 28丨、282,關於通過成段開口瓜之χ :方向的中心位置(與光栅平台咖之重心的X轴方向位 nr致)之ζ軸’係與雜單元261、%成為大略左 右對稱之配置。 又’上述Υ轴直線導板138丨、1382,係位置於以中立 面CT為基準之大略對稱的位置。 上述對磁極單元28i、282,係個別具有磁性體構件, 與在該磁㈣件之表㈣丫財向明㈣隔加以配置之 複數場磁鐵。複數場磁鐵,係以鄰接之場 反磁性。因此’在磁極單元28ι之上方的空間沿 加以形成交變磁場,在雜單元282之下 方向加以形成變磁場。 袖 在本實施例’由上述定子單元36、38(包含兩對γ料直 1338323 I2838pifl • 爲第92135501號中文翻書細雜正本 修JE日期:99年丨Q月4日 線導板136,、1362、138i、1382)與兩對磁極單元26ι、%、 28!、282構成第一驅動機構。依照此第一驅動機構1時,2藉 減電流供給於γ轴直線導板136ι、1362内之電福線圈: 由磁極單元26丨、262之所產生之磁場與在電樞單元136ι、 1362所流通之電流之間的電磁相互作用產生¥軸方向之1電 磁力(洛倫茲力Lorentz f0rce) ’此洛倫茲力之反力成為使磁 極單元26〗、262 (光柵平台RST)驅動於γ軸方向之驅動力。 以同樣,藉由使電流供給於γ軸直線導板138ι、13心 • 内之電枢線圈,由磁極單元抓、%之所產生的磁場與^ 軸直線導板138丨、1382所流通之電流之間的電磁相互作用 產生Y軸方向電磁力(洛倫茲力),此洛倫茲力之反力成為 使磁極單元28!、282 (光柵平台RST軸動於γ軸方向之驅 動力。 在本實施例之場合,以光柵平台RST之中立面CT為 基準,磁極單元26!與262、磁極單元28l與282係以個別 對稱的配置,對應於此等磁極單元之γ軸直線導板136ι _ 與1362、Υ軸直線導板138丨、1382也以中立面CT為基準, 係以上下對稱的配置。因此,藉由使同一電流供給γ軸直 線導板136丨、1362、138〗、1382之各個電樞線圈時,對磁 極單兀26丨、26s、28丨、282之各個給與同一驅動力,在光 、 栅平台RST之中立面CT(參照圖4Β)上的兩處可使Υ軸方 . 向之驅動力(磁極單元26!、262之驅動力的合力、磁極單元 28丨、282之驅動力的合力)加以作用,藉此,可極力使縱擺 力距(pitching moment)不作用於光柵平台RST。 32 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年1〇月4日 · 又,此種場合’磁極單元26〗與262,磁極單元28ι與 .. 282 ’也關於X軸方向’由於在關於光柵平台RST之重心 ., 近傍位置大略對稱配置,並且由於從光柵平台RST之重心 在等距離之兩處使上述Y軸方向之驅動力加以作用,可以 在該兩處產生同一力量能在光柵平台RST之重心位置近 傍使轴方向之驅動力的合力加以作用。因此,可極力使偏 搖力距(yawing moment)不作用於光柵平台RST。 尚且’與上述相反,藉由使左右之γ軸方向之驅動力 成為相異時,也可以控制光栅平台RST之偏搖。 馨 從至今之說明可知’由磁極單元26i、262與所應之Y 軸直線導板136〗、1362構成使光栅平台RST驅動於Y軸 方向之一對Y轴線性馬達,由磁極單元28^282與所對應 之Y軸直線導板138〗、1382構成使光栅平台RST驅動於Y 軸方向之一對動磁鐵(moving-magnet)型之γ軸線性馬達。 尚且,在以下,將此等γ軸線性馬達使用與構成各γ軸線 性馬達之直線導板同一符號以「γ軸線性馬達136ι、1362、 138丨、138]」加以記述。 由左右各一對之Υ軸線性馬達1361、1362及138ι、1382 ® 構成上述之第一驅動機構。 上述定子單元40 ,係如圖2所示,具有以γ軸方向為 長度方向之一對作為第二定子的電樞單元14〇ι、14〇2與使 , 此等電樞單元140〗、14〇2在Υ軸方向(長度方向)之一端部 與他端部支撐的一對固定構件156。此種場合,由一對固 、 定構件156 ’使電樞單元14〇ι、14〇2以相互對向隔所間隔 33 1338323 12838pifi ^ ' 爲第9213簡號中文__修正本 修正日期謂丨〇月4日 、 於Z軸方向(上下方向)並且個別平行於XY面加以支擇。 一對固定構件156之各個,係固^於上述框狀構件18之内 壁面。 ,電拖單元14G丨、14〇2係從圖7可知,具有由斷面矩形(長 形)之非磁性材料所構成之構架,在其内部配置電拖線圈。 在電樞單元140]、14〇2相互間,如圖7所示,各以經 由所定之間隙,配置固定在光栅平台RST之χ軸方向端 部的作為第二可動元件之斷面矩形(長方形)的板狀永久磁 # 1 戴30。以替代永久磁鐵3〇,也可使用由磁性體構件與各固 定於其上下面之一對平板狀永久磁鐵所構成的磁極單元。 此種場合,永久磁鐵30,並且電樞單元14〇1、14〇2, 係以中立面CT為基準成為大略對稱之形狀及配置(參照圖 4B及圖7)。 〆 因此,由永久磁鐵30形成之Z軸方向的磁場與在個別 構成電樞單元140〗、14〇2之電樞線圈流向於γ軸方向之電 流間的電磁相互作用產生X軸方向之電磁力(洛倫茲力), • 此洛倫茲力之反力成為使永久磁鐵30(光柵平台RST)驅動 於X軸方向之驅動力。 此種場合,藉由在各構成電樞單元14(^、1402之電樞 線圈供給同一電流時,在光柵平台RST之中立面CT(參照 ' 圖4B)上之位置可使X軸方向之驅動力作用。藉此,可極 - 力使轉動力距不作用於光柵平台RST。 如上所述,由電樞單元UCh、14〇2與永久磁鐵30構成 使光栅平台RST可微小驅動於X軸方向之動磁鐵型的音 34 1338323 12838pifl 爲第921355〇1號中文說明書無劃線修正本 修正曰期:99年1〇月4日 圈馬達(voice coil motor)。尚且,在以下,將此音圈馬 用構成該音圈馬達之可動元件’即永久磁冑之符 圈馬達30。由此音圈馬達30構成第三驅動機構/ 曰 在本實施例,更且,在上述框狀構件18之+乂側面 +Y侧面,係如圖3所示,設置由磁極單元所構成之 元件601、6〇2、6〇3。以對應於此等可動元件(第—部分)恥、 6〇2、6〇3在光柵平台平板16,係以經由支持台料广 6七,以設由電樞單元所構成之定子(第二部62「 623 。 2 、 上述可動元件6〇i、6〇2,係在其内部有永久磁 =方向之磁場。上述定子62l、622,係在其内部有電= 線圈,在上述Z軸方向之磁場中成為電流流向於γ 向。因此,藉由在定子62】、622内之電樞線圈供給 =電流時,在可航件6()1,成為使向χ軸方向· 動力(洛倫兹力之反力)加以作用。即,由可動元件6〇盘一 子咚構成由動磁鐵型的音圈馬達所構成之乂轴方^ = 用之微調馬達(trim motor),由可動元件6〇2與定子^ 成由動磁鐵型的音圈馬達所構成之X軸方向驅動用之2 微 馬達。 成二31在其_具有永久,以形 L。上述定子623 ’係在其内部具有電插 " 在上述Z軸方向之磁場中成為使電流流向於χ 二。因此’藉由在定子623内之電樞線圈供給又輪 電流時’在可動元件6〇3成為使向丫轴方向之驅動力 35 I2838pifl I2838pifl 修正曰期年1〇月4日 爲第92135501號中文說明書無劃線修正本 幻3構 的微調 茲力之反力)加以作用,即,由可動元件6〇3與定子 成由動磁鐵型的音圈馬達所構成之Y軸方向驅動用 馬達。 如此,藉由使用此等三個微調馬達時,可使框狀 18驅動於X軸方向、γ軸方向、及θζ方向之三自由件 向。 方 在上述框狀構件18之-X侧之側壁的大略中央,係如圖 3所示,形成凹狀部18a。在此凹狀部18a形成使框狀構件 18之内部與外部連通之矩形開口 18b,在該矩形開口 18匕, 係嵌入窗玻璃(透明部)gl。又,在框狀構件18之方側的側 j,係形成使框狀構件18之内部與外部連通的矩形開口 18c在該開口 18c,係嵌入窗破璃(透明部)g2。在此等窗玻 璃gi、gZ,為不產生從安裝部分漏出氣體,在安裝部分, 係施加銦(In)或銅(Cu)等金屬密封或由氟系樹脂之密封 (sealing)。尚且,對於上述氟系樹脂,係以使用8〇<>c經兩 小時加熱施加脫氣體處理者為宜。
在上述窗玻璃g!之外側(_χ侧),係從表示光柵平台裝 置之XZ斷面圖之圖7可知,以對向於光栅平台RST之反 射鏡部24B的反射面124m,設置X軸雷射干涉儀69X作 為位置檢測裝置。從此X軸雷射干涉儀69χ之測長光束, 係經由窗玻璃gl對反射鏡部24Β之反射面i24m投射,其 反射光係經由窗玻璃g!返回於X轴雷射干涉儀内。此種場 合,測長光束之光路的Z轴方向之位置係一致於中立面CT 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本修正日期:99年i〇月4曰 又’如圖7所示’投影光學系統單元PL之鏡筒的上端 - 部近傍’係經由安裝構件92設置固定鏡Mrx。從X軸雷 射平涉儀69X參照光束,係經由形成於光柵平台平板16 之貫通孔(光路)71,對固定鏡Mrx投射,其反射光係返回 於X軸雷射干涉儀69X内。在X軸雷射干涉儀69X係使 測長光束之反射光,參照光束之反射光由内部之光學系統 以合成為同軸並且同一偏光方向之光,使兩反射光之平涉 光由内部檢測器(detector)加以受光。然而,依據由其干涉 光在檢測器之受光面所產生之平涉條紋(interference fringe) _ 的計數值’ X軸雷射干涉儀69X,係使光柵平台本體22 之X軸方向的位置’以固定鏡Mrx為基準,例如以0.5〜lnm 程度之分解度經常的進行檢測。 在上述窗玻璃g2之外側(-Y側),係從光栅平台裝置12 近傍之YZ斷面圖的圖8可知,以對向於在光栅平台本體 22所設之上述反射鏡(retr〇_reflect〇r)32i、%的反射面設置 Y軸雷射干涉儀69X作為位置檢測裝置。此種場合,γ軸 雷射干涉儀69Y ’為個別對應於反射鏡32ι、322係設置一 馨 對。從各Y軸雷射干涉儀之測長光束係經由窗玻璃g2對反 射鏡32〖、32z之反射面個別投射,各反射光,係經由窗玻 璃g2返回各Y軸雷射干涉儀69γ内。此種場合,測長光 束之照射點的Ζ軸方向之位置,係大略一致於中立面CT · 之位置。 „ *又,如圖8所示’在投影光學系統單元PL之鏡筒的上 端部近傍,係經由安裝構件93設置固定鏡Mry。從各γ 37 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年10月4日 軸雷射干涉儀69Y之參照光束,係經由形成於光栅平台平 板16之貫通孔(光路)72,對固定鏡Mry個別投射,個別之 反射光係返回個別之Y轴雷射干涉儀69Y内。然而,個別 之Y軸雷射干涉儀69Y,係與上述X軸雷射干涉儀69X 同樣’依據測長光束之反射光與參照光束之反射光的干涉 光’在個別測長光束之投射位置(反射鏡32^ 322之反射面 的位置)之光柵平台本體22的Y軸方向之位置,以固定鏡 Mry為個別之基準,例如以〇 5〜lnm程度之分解度經常的 進行檢測。 在此種場合。由一對之γ轴雷射干涉儀69Y,也可檢 測光栅平台RST之Z軸周圍之回轉量。 在本實施例,如在圖2所示,反射鏡部24B係配置於 Y轴線性馬達136!、1362之外側。因此,由於X軸雷射干 涉儀69X之測長轴不通過γ軸線性馬達i36i、1362之定子 的上方’由Y轴線性馬達136丨、1362之定子所流通之電流 的發熱’雖然在γ軸線性馬達136ι、1362近傍產生空氣搖 動時’由於由此空氣搖動對X軸雷射干涉儀69X之計測值 不發生影響’可以高精度檢測光柵平台RST,進而高精度 檢測光栅R之X軸方向位置。又,在此種場合,如前所述, 由於X轴雷射干涉儀69χ之測長光束的光路之Z軸方向 的位置,係一致於中立面CT之位置’光栅R之載置面也 一致於中立面CT’沒有所謂的阿貝誤差(abbe error),可以 精度良好的計測光柵平台RST,進而精度良好的計測光栅 轴方向位置。在一對之γ轴干涉儀69γ,也以同樣 38 1338323 12838pifl 細麵號中文說明書無劃線赃本 修 =沒有所謂的阿貝誤差’可以精度良好的計測光栅平 〇 RST,進而精度良好的計測光柵尺之γ軸方向位置。 又’由於上述之X軸雷射干涉儀69Χ及_對¥ 儀69Υ’係配置於框狀構件18之外部,從構成各干涉 稜鏡等的光學構件及檢測ϋ等假使雖然產錢i之二 氣體時,以此對於曝光也成為不會有不良影響。 如上所述,實際上,於移動鏡,雖然係設置三個反 鏡部24B、反射鏡32!、32z,對應於此雷射干涉儀也設χ ,雷射干涉儀69X與一對Y軸雷射干涉儀69γ,在圖i此 等係以代表性的以光栅移動鏡Mm、光栅干涉儀系統的圖 示。尚且,在圖1,固定鏡(固定鏡Mrx、固定鏡Mry)係省 略圖示。 μ 在以下之說明,係由光柵干涉儀系統69檢測光栅平台 RST之ΧΥ面内之位置(包含θζ回轉)。從此光柵干涉儀系 統69之光栅平台RST的位置資訊(或係速度資料)係送至^ 台控制系統90及經此至主控制裝置90,在平台控制9〇係 按照從主控制裝置70之指示,依據光柵平台rst之位置 資料(或係速度資訊)控制光栅平台rST之驅動。 回至圖1’對於上述投影光學系統單元PL,係使用由 具有兩側遠心(telecentric)縮少系統,並且共同之z軸的複 數片透鏡元件所構成之折射光學系統。此投影光學系統單 元PL,實際上係,以經由在該投影光學系統單元pL之鏡 筒部所設的凸緣(flange)部FLG,由未圖示之支撐構件支 撐。此投影光學系統單元PL之投影倍率β,係例如為ι*4 39 1338323 12838pifl 修正日期:99年10月4日 爲第92135501號中文說明書無劃線修正本 或1/5。因此’如前述,由從照明單元I〇p之照明光扎區 域内之電路圖案由投影光學系統單元PL以縮小投影於晶 圓W上之與照明區域共耗之照明光江的照射區域(曝光區 域)’轉印形成電路圖案之縮小像(部分等立像)。
在投影光學系統單元PL之鏡筒,供氣管路5〇之一端 與排氣管路51之一端係個別連接。在供氣管路5〇之他端, 係連接於未圖示之低吸收性氣體供給裝置,例如為氦氣供 給裝置。又,排氟管路51之他端,係連接外部之氣體回收 裝置。然而,從氦氣供給裝置使高純度之氦氣經由供氣管 路50流通於投影光學系統單元pl之鏡筒内部。此種場 合’氦氣係回收於氣體回收裝置。尚且,對於低吸收性氣 體使用氦氣之理由’係與上述同樣理由以外,由於投影光 學系統單元PL之透鏡材料使用熱膨張係數較大之螢石 (fluorite)之關係’考慮由於透鏡吸收照明光IL所產生之溫 度上昇會使透鏡之成像特性劣化,係以使用冷卻效果大之 低吸收性氣體為宜。
上述晶圓平台WST,係配置於晶圓室80内。此晶圓室 80,係由在頂部之大略中央部分形成圓形開口 71a之隔壁 71加以形成。此隔壁71,係以不銹鋼(SUS)等脫氣體較少 之材料加以形成。在隔壁71之頂部的開口 71a内,係*** 投影光學系統單元PL之鏡筒的下端部。又,在隔壁71之 頂壁的開口 71a之周圍與投影光學系統單元PL之凸緣部 FLG之間,係以無間隙狀態連接可撓性波形管(felxible bellows)97。依此,使晶圓室80之内部的氣體與外部隔離。 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年1〇月4日 在晶圓室80内,平台底板BS經由複數防震單元86大 略支撐於水平,此等之防震單元86對從床面(fi0〇r)F傳達 於平〇底板BS之微震動例如以μ(}程度加以絕緣。尚且, 對於此防震單元86,也可以使用依據安裝於平台底板Bs 之一部分的半導體加迷度儀等震動感應器之輸出以對平台 底板BS積極制震之所謂主動防震裝置。 上述晶圓平台WST,係經由晶圓支撐器(h〇ider)以真空 吸附等支撐晶圓W’例如包含由線性馬達等未圖示之晶圓 驅動系統沿上述包含線性馬達等未圖示之晶圓驅動系統, 沿上述底板BS之上面以自由自在於XY二維方向驅動。 如本實施例,在使用真空紫外域之曝光波長的曝光裝 置’為避免域氣等之吸收性氣體對曝光光之吸收,對於 從投影光㈣統單元PL至晶圓W之光路,有必要以氮或 稀有氣體取代。 ^曰f至8〇之隔壁71,係如圖1所示,使供氣管路 之山端與排氣管路43之-端係個別連接。供氣管路41 係'連接於未圖示之低吸收性氣體供給裝置,例如 :、、、,氣供、’、δ裝置。又,排氣管路43之他端,係連接於外部 ^體回收裝置。然而’以與上述同樣,在晶圓室80内使 虱氣經常流通。 ,曰曰圓室80之隔壁71之-Y側的壁面,係設置光透過 肉以與此同樣’雖然省略圖示,在壁Ή之-X側(在圖 ^紙面之前側)的側壁也設置光透過窗 。此等光透過窗, 、71所开’成之窗部(開口部)藉由安裝封閉該窗部之光 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年10月4日 透過構件,在此為安裝一般的光學玻璃以構成。此種場合, 為從構成光透過窗85之安裝光透過構件之部分不產生氣 體漏出起見’在安裝部分,係施加銦或銅等之金屬密封或 氟系樹脂之密封。尚且,對於上述氟系樹脂,係使用以8 〇 °c 兩小時加熱施加脫氣體處理者為宜。 在上述晶圓支撐器25之-Y側的端部,係使由平面鏡所 構成之Y移動鏡56Y延設於X軸方向,在此γ移動鏡 56Y’係從大略垂直配置於晶圓室8〇之外部的γ軸雷射干 鲁 涉儀57Y之測長光束經由光透過窗85投射,其反射光, 係經由光透過窗85由Υ軸雷射干涉儀57Υ内部之檢測器 爻光,以Υ軸雷射干涉儀57Υ内部之照鏡的位置為基準檢 測Υ移動鏡56Υ之位置,即晶圓貿之γ位置。 以同樣,雖然省略其圖示,在晶圓支撐器25之+χ側 的端部,由平面鏡所構成之X軸移動鏡延設於Υ轴方向。 然而,以經由此X移動鏡由χ軸雷射干涉儀與上述同樣檢 測X移動鏡之位置,即晶圓界之乂位置。上述兩個雷射 # 干涉儀之檢測值(計測值),係供給於平台控制系統90及以 經此供給主控制裝置70,平台控制系統9〇,係依據主控制 裝置70之指示,一面監視上述兩個雷射干涉儀之檢測值一 面以經由晶圓驅動系統進行晶圓平台WST之位置控制。 如此,在本實施例,由於雷射干涉儀,即雷射光源棱 . 鏡等之光學構件及檢測器等,係配置於晶圓室80之外部, 雖然從上述檢測器等假使產生微量之吸收性氣體時,以此 對曝光不產生不良影響。 I2838pifl 爲第92135501號中文說明書無劃線修正本 修正日期· 99年1〇月4日 尚且,上述之投影光學系統單元PL的鏡筒所連接之供 氣管路50之他端’及排氣管路51之他端,係個別連接於 未圖示之氦氣體供給裝置,從氦氣體供給裝置經由供氣管 路50經常使高純度之氦氣體供給於投影光學系統單'元pi 之鏡筒内’使該鏡筒内部之氣體經由排氣管路51返回氦氣 體供給裝置,依此,也可採用循環使用氮氣之構成結=轧 此種場合,在氦氣體供給裝置,係以内存氣體精製^置為 宜。以此種結構時,由氣體精裝裝置之作用,雖然^包含 氦氣體供給裝置與投影光學系統單元PL内部之循環=二 使氦氣經長時間循環使用時,投影光學系統單元pL ^之 氦氣以外的吸收性氣體(氧氣、水蒸氣、有機物等)之濃度, 係可維持於數ppm以下之濃度。又,此種場合,也可在投 影光學系統單元PL内設置壓力感應器,吸收性氣體濃度 感應器等之感應器,係據該些感應器之檢測值,以經由未 圖示之控制裝置適宜控制内裝於氦氣供給裝置之泵的動 作、停止等。 以同樣,在晶圓室80,也可採用與上述同樣之氦氣循 環經路。 X ' 其次’簡單說明由上述情形所構成之曝光裝置10的曝 光動作流程。 首先,在主控制裝置70之管理下,由光柵加載器(rectile loader)、晶圓加載器(wafer i〇acjer)進行光柵裝載、晶圓裝 載’又’使用光栅定位系統、晶圓平台WST上之基準標 識(mark)板、離轴(0ff_axis)、定位(aiignement)檢測系統(均 1338323 12838pifl 修正日期:99年10月4日 爲第92135501號中文說明書無劃線修正本 料圖示)等,以所定順序進行光柵定位、基線(base line) 檢測(從纽㈣线之檢财处投料學祕單元pL 之光軸距離的檢測)等之準備作業。
〜其後,由主控制裝i 7G,.使用未圖示之定位檢測系統 實仃增強整體定位EGA(Enhanced Global Alignement)等之 定位檢測。以此種動作在需要晶圓移動之場合,主控制裝 置7〇 ’係經由平台控制系統9〇,使支擇晶圓w之晶圓平 口 WST移動於所疋方向。在上述之定位檢測完成後,以 如下之情形加以實行步進掃描(step. And · Sean)方式之曝 来私你。 在此曝光動作,首先,使晶圓w之XY位置,以成為 晶圓WJi之:ft初騎區域(fim . ☆_)之曝糾掃描開始 位置(加速開始位置)之狀態移動晶圓平台WST。在同時, 以使光栅R之位置成為掃描開始位置之狀態移動光平台 RST。然而’由從主控制裝置7〇之指示,平台控制系統
依據由光栅干涉儀系統69所檢測之光栅R的位置資 訊、及由晶11側之Y軸雷射干涉儀57γ與χ軸雷射干涉 儀所檢測之晶® W的位置資訊,藉由使細R(光柵平台 咖)與晶圓w(aaau平台WST)同步移動以實行掃描曝 光。 依此’對最初照射區域完成光柵圖案轉印時,使晶圓 平台WST W-照射區域之分加以步進(stepping)於非掃描 方向(X轴方向)從實行對其次之照㈣域的掃描曝光。以 如此’依序重覆照射間步進動作與掃描曝光,在晶圓 1338323 12838pifl Μ^92ΐ355〇ι 修正日期:99 年 10 月 4 日 之複數照射區域轉印光柵R之圖案。 在上述之掃描曝光時,依據主控制裝置7〇之指示,雖 然由平台控制系統90實行對晶圓平台 WST之光栅平台 RST的追隨控制,在此時隨伴於光柵平台rST之移動的反 力,係由上述框狀構件18之移動消除(cancdp以下,對 此點加以說明。 即’在上述之追隨控制之際,當光柵平台RST驅動於 X軸方向時,上述音圈馬達3〇之可動元件與光栅平台rST 成為一體驅動於X軸方向,此驅動力之反力作用於音圈馬 達30之定子(電柩單元14〇ι、14〇2)及固定該定子之框狀構 件18。此種場合,由於框狀構件18係對光柵平台平板16 及照明系統側板14經由所定間隙而不接觸,由上述反力之 作用’框狀構件18係僅以依照動量守蚊律之距離移動於 依照其反力之方向。藉由此框狀構件18之移動吸收上述反 力。此時,對於光柵平台RST之γ軸方向的位置,起因 於上述X軸方向之㈣力的反力之職力距有作用於框狀 構件18之情形。此種場合,框狀構件18,係由其偏搖力 距及X軸方向之反力的作用,健動量守財律以吸收反 力之狀態隨伴θζ回轉自由運動。 一方面,由於光柵平台RST為與晶圓平台WST同步, 驅動於Y軸方向之際,Y轴線性馬達136ι、1362、1381、 1382之各可動元件,係與光柵平台脱成為—體2驅動於γ 動场之驅動力的反力之合力作用於γ轴線 性馬達I36l、1362、138ι、1382之各定子及固定此等定子 45 1338323 12838pifl 修正日期:99年10月4曰 爲第92135501號中文說明書無劃線修正本 之框狀構件18。在此種場合,也由上述反力之合力的作 用,框狀構件18係依照動量守恆定律,僅以吸收上述反力 的合力之距離移動於依照反力的合力之方向。
又’使Y軸線性馬達136i、136z與’γ軸線性馬達 1382所產生之驅動力(推力)成為相異以將光柵平台rst以 θζ回轉,此時,雖然偏搖力距有作用於框狀構件π之情 形,雖然在此種場合,框狀構件18,也由於其偏搖力距及 Υ軸方向之反力的作用,係照動量守恆定律以吸收反力之 狀態加以隨伴θζ回轉之自由運動。 又,由於在任何場合,也不產生包含框狀構件18及光 栅平台RST之系統的4轉動,偏負載亦不會作用在光柵 手台平板16。 因此,以本實施例,在光栅平台RST之驅動時,可確 實消除隨伴於該光栅M RST之_所產生之反力(X轴 方向及Υ轴方向之反力)及由該反力所產生之偏搖力距, 可抑制隨伴於光栅平台RST之驅動的震動。又,也可防止
如上述之偏負載之產生的關係,可防止起因於此之光栅平 台平板16之姿勢變化等。 又,在本實施例,為消除上述之反力,在光柵平台平 ^ 16上使框狀構件18移動之際,為使從基準位置之偏離 里以不超過谷許值之狀態(即,例如,由框狀構件Μ之移 動,以不發生變成不能進行音圈馬達3〇之控制或,以經 由框狀構件18與光柵平台平板16間之間隙使外氣混入於 框狀構件18内部之氣密空間内之事態)例如在不影響於曝 1338323 I2838pifl 爲第92丨腳1號中文_書_讎正本 粧日期:99年丨Q月4日 ‘ 光之適當時機,由主控制裝置7G經由平台控㈣統%使 用上述之二個微調馬達將框狀構件18返回於所定之基準 位置。 土 、 如以上詳細說明,依照關於本實施例之光栅平台裝置 12時,光柵平台RST,一面浮動於光栅平台平板16之上 方一面支撐光栅R可在包括γ軸及與此直交之X軸的二 潍面内之二自由度方向能沿光柵平台平板16移動,框狀構 件18,係一面浮動於光栅平台平板16之上方具有上述二 雉面内之三自由度。又,在框狀構件18,係加設γ軸線性 着 馬達136r 136^138^ 1382之各定子(直線導板136丨、1362、 138丨、1382)及音圈馬達30之定子(電樞單元140丨、14〇2), 使Y轴線性馬達136!、1362、138i、1382之各可動元件(磁 極單元26!、26s、281、282)及音圈馬達30之可動元件(永 久磁鐵30)設置於光柵平台RST。 因此’光柵平台RST,係由Y軸線性馬達136^ 1362、 138i、138z或音圈馬達30加以驅動於Y軸方向或X軸方 向時’按照其驅動力之反力產生作用於定子(直線導板 鲁 136丨、1362、138丨、1382)或定子(電樞單元140!、1402)。由 此反力之作用框狀構件18,大略依照動量守恆定律,移動 於二維面内之三自由度方向。即,框狀構件18完成平衡質 量(counter mass)之任務。此種場合,由於由光柵平台rst " 之移動’大略可消除上述反力之同時,包含光柵平台RST 、 及框狀構件18之系統不產生重心移動,亦無偏負載作用在 光栅平台平板16。因此,可謀求使載置光栅R之光栅平台 47 U38323 12838ρίΠ 爲第921麵號中文說明書無劃線修正本 修正日期:99年關4日 RST之位置控制性的提昇。 又’由於框狀構件18係以圍繞光柵平台RST之狀態設 置之’必絲為大型化’其f量變大,由於可加以確保框 狀構件18與光栅平台RST之大質量比,框狀構件18之移 動行程能以較短就;i夠。又,在使框狀構件18加以大型化 之場合,也幾乎無障礙。 又,由於在光柵平台RST係以在中立面CT之一部分 形成光栅R之載置面的同時,從光柵干涉統69之測 長光束的光路之z軸方向的位置一致於中立面CT之位 置’與使用上述® 12B所說明習知例相異,可使在光柵平 台RST之變形時因於中立面CT與測長軸之偏離所產生之 檢測誤差及測絲與光之圖案面的位置偏離所相差之 -種阿貝誤差-起變成為大略為零,藉此可使光柵r之位 置能以高精度進行檢測。 又,使光柵平台RST驅動之第一驅動機構,係具有個 別之一對可動元件(磁極單元261、262、281、282)與兩對定 子(直線導板136,、1362、138,、1382)。其中個別之-對可 動元件(磁極單元26!、262、28!、282),係在光栅平台rst 之光柵R之載置區域的χ轴方向之一側與他側以中立面 CT為基準__的配置。兩較子(線性導向板%、 1362 138!、1382),係與該各可動元件個別協動以個別產 生Υ軸方向之驅動力。即,在χ轴方向之—側,他側之任 何側1成為可動元件、光栅平台本體、可動元件之疊層結 構的同時’此可動元件彼此係關於巾立面CT成為對稱之 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年10月4日 配置。此種場合’由於光栅平台RST之中立面係大略一致 於其重心之高度位置(Z軸方向之位置),可使藉由與左右 各一對之可動元件所對應之定子協動所產生之Y軸方向的 驅動力之合力,能作用於光柵平台RST之重心位置。 又,由於上述各對之定子(直線導板136ι、1362、138ι、 1382) ’係以上述中立面CT為基準個別對稱配置,在使光 柵平台RST沿光柵平台平板16驅動於γ軸方向時,由於 供給於直線導板136丨、1362、138丨、1382之各電線圈之電 流直線導板136丨、1362、138丨、1382之發熱,雖然光栅平 台RST有加熱,對其發熱部分,在起因於中立面cT之上 側、下側所產生之雙金屬效果的光柵平台本體22之變形彼
此可抵消,結果不產生起因於雙金屬效果之光栅平台RST 的變形。 尚且,與本實施例相異,例如上述各一對之可動元件 由電樞單元構成之場合’在使光栅平台RST沿光柵平台平 板16驅動於γ轴方向時,由於供給於可動元件之電流在 可動元件之發熱雖然滑塊(slider)有所加熱此種場合也 由於同樣理由,不產线因於雙金屬效果之光柵平台rst 的變形。 因此
使光柵平台腹之¥轴方向的位置經由設於; = ^RST之一對反射鏡32⑼2由一對Y轴干涉儀69, 檢測,依據其檢測結果控制光栅平台RST之γ軸方向合 好可使光栅平台RST之γ轴方— 49 1338323 12838pifl 修正日期:99年10月4曰 爲第92135501號中文說明書無劃線修正#
又,關於本實施例之光柵平台裝置12,由於使從光柵 千涉儀系統69之X軸方向的㈣束所照射之反射面,設 置於比使光财台RST驅料γ财向之雜馬達%、 叫較外側’賴起因於上述線性馬達之賴在該線性馬 達周邊之氣體產生溫度搖動時,對上述乂軸方向之測光束 不產生任何影響。藉此,可由干涉儀69χ卩高精度實 行光柵平台RST之X ϋ方向的位置齡卜此種場合,從 千f儀69Υ軸方向的測長光束,係與通常同樣,可特別以 無障礙照射在光柵平台rST所設之反射鏡32ι、322的反射 面(位置於幾乎不受上述線性馬達之發熱影響的場所之反 射面),可以良好精度的檢測光柵平台RST之γ軸方向及 X軸方向之位置,進而可謀求光栅平台RST之位置控制性 的提昇。
又,使從光柵干涉儀系統69之X軸方向的測長光束所 照射之反射面124m,係形成於與光柵平台RST之載置光 俩R的板狀部24A另外之所長度的棒狀反射鏡部24B之 端面’在形成該反射鏡部24B之反射面124m的棒狀部分 124a之長度方向的兩端部除外之部分設置補強部U4b,該 補強部124b之兩端經由彈性鉸鏈部124c、124d連結於板 狀部24A。因此,在反射面之彈性鉸鏈部124c與彈性鉸鏈 部124d之間的部分,即,將主要使用於光柵平台RST之 位置控制的部分之變形,可以極力抑制。 又’在光栅平台RST之Y軸方向的一側及他側之端部 係個別設置延伸於γ軸方向之延設部24Q-24D2 1在光柵 50 12838pifl 修正日期:99年1〇月4曰 爲第92135501號中文說明書無劃線修正本 平台RST之底面’從上述一側之延設部至他側之延設部的 長度方向之所有全域形成氣體靜壓轴承,採職光橋平台 平板16以不魏管對±述氣靜壓軸承供給加 成結構。因此,光柵平台RST不需要以脉_之狀態驅 動,由於在光柵平台以等速運動實行曝光巾,幾乎不需要 支樓等速運誠必要之推力,因此不受祕馬達之推力波 動(ripple)及其他影響。 又,依照關於本實施例之曝光裝置10時,如上所述, 由於可以極良好確保光栅平台RST之位置控制性,因此可 以提昇光柵平台RST與晶圓平台WST之同步控制精度, 藉此,可使形成於光栅R之圖案能以高精度轉印於晶圓w 又,依照曝光裝置10時,由於可使包含照明單元I〇p 與投影光學系統單元PL之間的照明光IL之光路的空間成 為以低吸收性氣體(吸收照明光之特性比空氣較小之特定 氣體)清除(purge)之清潔空間對外界大氣加以隔離之隔 壁,可容易使光柵平台RST周邊之空間成為清潔空間,可 以極力抑制在此清潔空間内之照明光IL的吸收。 尚且’在上述實施例,雖然使驅動光柵平台RST於γ 軸方向之第一驅動機構由左右各一對之Y軸線性馬達構 成’使驅動光柵平台RST於X軸方向之第二驅動機構由 音圈馬達構成的結構,當然本發明並非限定於此。 又’在上述實施例,雖然以在反射鏡部24B形成空洞 部CH之場合加以說明’在反射鏡部24B也可不形成中空 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年1〇月4日 部。又,雖然以反射鏡部24B與板狀部24A成形為一體加 以說明,並不限於此,也可以另外的構件構成,在各構件 之間以彈性鉸鏈部連結。 尚且’在上述實施例,雖然在光栅平台裝置12之上方, °又置照明系統側板14,例如使框狀構件之上側(照明系統 侧)留照明光透過之窗部藉由封閉時,也可不設置照明系統 侧板14能使光柵平台RST近傍維持某程度之氣密空間。
又,在上述實施例,雖然使光柵平台RST以一體成形 加以構成,本發明並非限定於此,也可使各部分以另外構 件加以構成。
尚且,在上述實施例,雖然對以圍繞光栅平台RST之 框狀構件兼用為使光栅平台周邊之空間對外界大氣隔離之 隔壁的場合加以說明,本發明並非限定於此,也可使光栅 平台RST及框狀件收納於室(光栅平台室)内,使光拇平台 RST周邊之空間由低吸錄氣餘代。此種場合,對於二 狀構件在與光柵平台平板之間形賴定間隔,以僅構成能 在二維面内(XY面内)移動即可,不必要如上述實施例之情 形在框狀構件之上面設置氣體靜壓軸承機構。 尚且,在本實施例,關於本發明之平台t置雖然以適 用於掃描敎νυν曝綠置的光柵平台裝置之場合加以 說明,並非限定於此,關於本發明之平台裝置,係可以應 用於不使賴影光學系統,將光栅與基板加㈣靠以使^ 罩圖案轉印於基板之接近(PIOXimity)型的直線*** ⑽gner)之鮮平台m液晶狀總括轉印方式之掃描 52 1338323 12838pifi 爲第92135501號中文酬書無劃線修正本 修正日期:99年10月4日 型曝光裝置等之光罩平台裝置或者板狀平師latestage)裝 置等。其他,對於EBPS方式之電子線曝光裝置、使用波 長5〜3Onm私度之軟X線區域的光為曝先光之所謂euvl 專之曝光裝置也可以適用關於本發明之平台裝置。 其他,只要係為使載置物體(試樣)之移動體可以驅動於 所定之第一轴方向在直交於第一軸方向之第二軸方向及回 轉方向也必要微少驅動之裝置時,並不限定於曝光裝置, 在其他精度機械等也適合應用關於本發明之平台裝置。 尚且,在本實施例,對於照明光IL,雖然以使用ArF 準分子雷射光(波長193nm)或F2雷射光(波長157nm)等之 真空糸外光、KrF準分子雷射光(波長248nm)等之遠紫外 光、從超高壓水銀燈之紫外域的輝線(g線、丨線等),並不 限定於此,也可使用Ar2雷射光(波長126nm)等之其他真 空紫外光。又,例如,作為真空紫外光不限定於上述各雷 射光’也可使用從DFB半導體雷射器(semjc〇n(juct〇r iaser) 或光纖維雷射器(fiber laser)所震盪之紅外域、或者使可視 域之單一波長雷射光,例如以摻入餌(Er)(或铒與镱(Yb)之 兩方)之纖維放大器(fiber amplifier)放大,以用非線形光學 結晶加以波長變換於紫外光之高次諧波。更且,對於照明 光IL不用紫外光等,也可使用χ線(包含EUV光)或電子 線或離子束等之帶電粒子線等。 又,在上述實施例,對於投影光學系統單元PL雖然以 使用縮小系統之場合加以說明,對於投影光學系統單元pL 係以等倍系統及擴大系統之任何者均可。又,對於投影光 53 1338323 气 12838pifl * 爲第92135501號中文說明書無劃線修正本 修正日期:99年1〇月4日 , 學系統,對照明光,例如在使用Ar2雷射光等之真空紫外 光的場合等’例如在日本專利特開平3-282527號公報所提 示,主要係以使用將折射光學元件與反射光學元件(凹面鏡 或射束分光鏡(beam splitter)等)加以組合之所謂反折射系 統(catadioptric system)或者僅由反射光學元件所構成之反 射光學系統= 尚且’在本實拖例’本發明雖然以適用於半導體製造 用之曝光裝置的場合加以說明,並非限定於此,本發明係 • 可廣加適用於’例如,在角型玻璃板轉印液晶顯示元件圖 案之液晶用的曝光裝置或,為製造薄膜磁頭、撮影元件、 有機EL、微機械(micro-machine)、DNA晶片等之曝光裝 置等。 又’不僅為半導體元件等之微元件,對於為製造在光 曝光裝置、EUV曝光裝置、X線曝光裝置、及電子線曝光 裝置等所使用之光柵或光罩,在玻璃基板或矽晶圓等加以 轉印電路圖案之曝光裝置也可以適用本發明。在此,在使 § 用DUV(遠紫外)光或νυν(真空紫外)光等之曝光裝置,係 一般以使用透射型光柵,對於光柵基板,係以使用石英玻 璃、摻入氟之石英玻璃、螢石、氟化鎂、或水晶等。 尚且,例如在國際公開WO99/49504號等所提示,在投 影光學系統單元PL與晶圓之晶充滿液體的液浸曝光裝置 ^ 也可以適用本發明。 <<:元件製造方法》 其次’對於將上述曝光裝置在微影製程使用之元件製 54 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年丨〇月4日 造方法的實施例加以說明。 圖10係表示元件(1C或LSI等之半導體晶片、液晶面 板、CCD、薄膜磁頭、微機械等)之製造例的流程圖。如圖 10所示,首先,在階段201(設計階段)’進行元件之機能· 性能設計(例如,半導體元件之電路設計等),實行為實現 其機能之圖案設計。接續,在階段2〇2(光罩製作階段),製 作形成所设&十之電路圖案之光罩。一方面,在階段203(晶 圓製造階段)’使用矽等之材料製造晶圓。 其-人,在階段204(晶圓處理階段),使用在階段2〇1〜 203所準備之光罩與晶圓,如後述由微影技術等在晶 圓上形成實際之電路等。其次,在階段2〇5(元件組立階 丰又)使用在階段204所處理之晶圓進行元件組立。在此階 段205,係按照需要包含切割(dicing)製程、結合(b〇nding) 製程、及封裝(packaging)製程(晶片封入)等之製程。 最後’在階段206(檢查階段)、進行在階段205所製作 之元件的動作確認測試,耐久性測試等之檢查。經此^程 後完成元件製作,而可以出貨。 圖11,係表示在半導體元件之場合,上述階段2〇4之 詳細流程彳和在圖U,於階段211(氧化·)係將晶圓之 表面氧化。在階段212(CVD階段)係在晶圓表面形成絕緣 膜。在階段213(電極形成階段)係在晶圓上以蒸鑛形成 極。在階段214(離子注入階段)係在晶圓注入離子。在以上 之階段211〜階段214各個階段,係構成晶圓處理之各 的前處理餘’在各·因應必要之處理㈣擇實行。 55 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 在晶圓製程之各階段’上述之前處理完成時,如以下 之情形實行後處理製程。在此後處理製程,首先,在階段 215(光阻形成階段),使感光劑塗布於晶圓。接續,在階^ 216(曝光階段)’由上述實施例之曝光裝置1〇其他本發明 之曝光裝置進行曝光’在階段217(顯像階段)使曝光之晶圓 顯像,在階段218(姓刻階段),使有光阻殘留部分以外之部 分的曝光構件以蝕刻去除。然而,在階段219(光阻去除階 段)’去除完成敍刻而成為不需要之光阻。
藉由重覆此等前處理製程與後處理製程,在晶圓上形 成多重的電路圖案。 使用以上所說明之本實施例的元件製造方法時,由於 在曝光製程(階段216)使用上述實施例之曝光裝置1〇等的 本發明之曝光裝置,可使光柵之圖案以良好精度轉印於晶 圓上,結果,可以提昇高積集度之元件的生產性(包含產 量)。
修正日期:99年10月4日 如以上說明,依照本發明之平台裝置時,具有可謀求 k幵載置物體之移動體的位置控制性之效果。 又,依照本發明之曝光裝置時,具有可以實現高精度 之曝光的效果。 又,依照本發明之元件製造方法時,具有可提昇高積 集度之元件的生產性之效果。 雖然本發明已以一較佳實施例揭露如上,然其並非用 以限,本發明,任何熟習雜藝者,在不麟本發明之精 神和範圍内,當可作些許之更動與潤飾,因此本發明之保 56 1338323 12838ρίΠ 爲第92135501號中文說明書無劃線修正本 修正日期:99年10月4曰 護範圍當視後附之申請專利範圍所界定者為準^ 【圖式簡單說明】 圖1係表示關於一實施例之曝光裝置的結構概結圖。 圖2係表示圖1之光栅平台裝置的斜視圖。 圖3係圖之光柵平台裝置的分解斜視圖。 圖4Α係表示光柵平台之斜視圖。 圖4Β係光柵平台之斷面圖。 圖5Α、5Β係在光柵平台所設之反射鏡部的構成及效果的 說明圖。 圖6Α、6Β係軸承裝置之構成的說明圖。 圖7係光柵平台裝置之ΧΖ斷面圖。 圖8係光栅平台裝置之ΥΖ斷面圖。 圖9係對框狀構件之下面側的說明圖。 圖10係說明關於元件製造方法之流程圖。 圖11係表示圖10之階段204的具體例之流程圖。 圖12Α、12Β係習知技術之說明圖。 【主要元件符號說明】 10 曝光裝置 12 光柵平台裝置(平台裝置) 14 照明系統側板(板) 16 光柵平台平板 18 框狀構件 18b ' 18c 矩形開口(開口部) 24C, ' 24C2 ' 24D! > 24D2 延設部 57 1338323 12838ρΐΠ 爲第92135501號中文說明書無劃線修正本 修正曰期:99年10月4曰 26!、262、28!、282 磁極單元(第一可動元件) 30 永久磁鐵(第二可動元件) 69Χ、69Υ X軸Υ軸雷射干涉儀(干涉儀系統) 124b 補強部 124c、124d彈性鉸鏈 124m 反射面 136]、1362、138i、1382 電樞單元(第一定子) 140^ 1402定子(第二定子)
CT 中立面 gl 窗玻璃(蓋玻璃) IOP 照明系統(照明單元) PL 投影光學系統單元 R 光柵(物體、光罩) RST 光柵平台(滑塊) W 晶圓(感光物體)
58

Claims (1)

1338323 12838pifl ι 爲第921355⑴號中文誦_醜粧本 粧日斯99年1G月4日 七、申請專利範圍 1.一種平台裝置,其特徵在於包括: 一平板; 、一滑塊,係一面在該平板之上方浮動一面支撐一物體 並可以沿該平板移動於包含一第一軸及與該第一軸直交之 一第二軸的二維面内之三自由度方向; 框狀構件’係―面在該平板之上轉缺少具有該 二維面内之三自由度,並圍繞該滑塊; / 一第一驅動機構,係包含設在該框狀構件之一第一定 子、以及與該第—定子協動以產生使該滑塊於一袖方 向驅動之驅動力的一第一可動元件;以及 輪方 一第二驅動機構,係包含設在該框狀構件之一— 子、以及與料二定子肋以產线賴塊於一疋 向驅動之驅動力的一第二可動元件。 於 .2.如申請專利朗第1項所述之平台裝置,其特徵在 該第-驅動機構’敍少包含兩個線性馬達; 該第二驅動機構,係至少包含一音圈馬達。 更再3包i申請專利範圍第1項或第2項所述之平台裝置, 一干涉儀系統’係檢測該滑塊之位置,其特徵在於, 該滑塊係在—中立面之一部份形成該物體之載置面 :的一$該步儀系統之測長光束的光路之直交於該二唯 的第—轴方向之位置係一致於該中立面之位置。、 59 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本修正日期:99年l〇月4日 4. 一種平台裝置,其特徵在於包括: 一平板; 一滑塊,係一面在該平板之上方浮動一面支樓一物體 並可以沿該平板#動;以及
一驅動機構具有個別之一對可動元件,係在該滑塊之 載置該物體的區域之直交於一第一軸方向之一第二軸方向 的一側與他側以該滑塊之一中立面為基準個別對稱配置, 與一對定子部,係與該各可動元件以個別協動以個別產生 該第一軸方向之驅動力。 5. 如申凊專利範圍第4項所述之平台裂置,其特徵在 於,該個別之一對定子部係個別以該滑塊之該中立面為基 準而各別對稱的配置。 A 6. —種平台裝置,包括: 一平板; 一滑塊,係一面在該平板之上方浮動一面支撐一物體
並可以沿該平板移動於包含一第一轴及與該第一軸直交之 一第二軸的二維面内; 軸方向驅動之 一驅動機構,係包含使該滑塊於該第一 一線性馬達; -干涉儀系統’係制絲束照射於設置在該滑 -反射面’依據其反射級_[財向及該第= 向之位置;其特徵在於: 神万 從該干涉儀系統之該第二轴方向之測長光束所昭 該反射面設置在_線性馬達較相位置之該滑塊之j 60 12838pin 修正日期:99年1〇月4日 爲第92135501號中文說明書無繼修正本 分0 於,7該專利範圍第6項賴之Μ裝置,其特徵在 八r』二‘面,係形成於與該滑塊之載置該物體之第一部 :部分=:3定長度之棒狀第二部分之端面’在該第 該補強之兩端部的部分設置―補強部,使 強邛之兩知經由一彈性鉸鏈部連結於該第一部分。 項中任Γ請專利範圍第1項、第2項以及第4項至第7 項中任士何-項所述之平台裝置,其特徵在於: 置延 向的―側及他側之端部係個別設 甲Μ㈣向的—延設部’從該—側之該延設部至 二側之奴設部麵有長度料之线賴 軸承;以及 & 從該平板,係以不經由配管對該氣體靜壓轴承供給一 加壓氣體。 9·-種曝光裝置’係使—鮮與_感光物體同步移動於 所疋方向以將形成在該鮮之—㈣轉印於該感光物體的 曝光裝置’其特徵在於包括: 一照明單元,係以—照明光照明該光罩; 申明專利範圍第1項至第3項中任何—項所述之平台 裝置’係以該《罩為該物體載置於該滑塊上 ;以及 -投影光學系統單元,係使從該光罩所射出之該照明 光投射於該感光物體上。 10·如申請專利範圍第9項所述之曝光裝置,其特徵在 於’包含該照明單元與該投影光學系統單元之間的該照明 丄 W8323 12838pifl 爲第9213规辦文·書_線修正本 修正日期:99年10月4日 光之-光路的空間’係成為以吸收該照明光之特性比空氣 較小之-特定氣體清除之一清潔空間的同肖,該框狀構 件,係可兼為使該清潔空間對外界大氣隔離之隔壁。 11. 如申請專利範圍第10項所述之曝光裝置,其特徵 在於,在位置於從該干涉儀系統向該清潔空間内之該滑塊 的測長光束之光路上的該框狀構件之一側面部分形成—開 口部的同時,設置封閉該開口部之一蓋玻璃。 12. 如申請專利範圍第9項至第u項中之任何一項所 述之曝光裝置,其特徵在於,在該框狀構件之該照明單元 侧之面及其相反側之面,以所有大略全周個別設置使用該 特疋氣體為加壓氣體之一氣體靜壓軸承或以同時進行真空 吸引與加壓氣體之噴出的差動排氣型之氣體靜壓軸承。 13. 如申請專利範圍第12項所述之曝光裝置,其特徵 在於更再包括板,係以經由所定間隙配置在對向於該框狀 構件之該照明單元側之面的大略全面,並使從該氣體靜壓 轴承噴射加壓氣體於該板上。 14. 一種曝光裝置,係使一光罩與一物體同步移動於一 所定方向以將形成於該光罩之一圖案轉印於該物體的曝光 裝置’其特徵在於包括: 一照明單元,係由一照明光照明該光罩; 申明專利範圍第4項至第8項中之任何一項所述之平 台裝置’係將該光罩載置於該滑塊;以及 一投影光學系統單元,係使從該光罩所射出之該照明 光投射於該物體上。 62 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年10月4曰 15.—種元件製造方法,係包含—微影製程之元件製造 方法,其特徵在於: §亥微影製程係使用申凊專利範圍第9項至第14項中之 任何一項所述之曝光裝置進行曝光。 16·—種平台裝置,其特徵在於包括: -滑塊’係在-載置面載置—物體並可以移動; -對第-可動το件’係對於該滑塊之該載置面對稱配 置; -對第二可動it件’係與該—對第—可動元件相異, 對於該滑塊之該載置面對稱配置; 對’係與該—對第—可動元件與該—對第二 可動元件協動以使該滑塊於—第—軸方向驅動。 17. 如申請專職圍第16項所述之平台裝置,立特徵 在於,該載置面係與該滑塊之—t立面—致。… 18. 如申請專利範圍第; 在於,—财+餐,項所奴平台裝置,其特徵 n奎個別對於該載置物對稱配置。 19. 如申凊專利範圍第以項所述之 ,包括1動裝置’係具有連接於該滑二 與、以及與該第-部分協動之—第二 第1刀 與該第-軸相異之-第二轴驅動第 使该滑塊於 20. 如申明專利範圍第D項所述之平台窨 =框狀構件,係切該-對定子與麵動裝:: 21·如申吻專利範圍第20項所述之平台裝置,其特徵 63 12838pifl 修正曰期:99年10月4曰 爲第92135501號中文說明書無劃線修正本 在於,該框狀構件係由使該滑塊驅動時所產生之一反力加 以驅動。 22. 如申請專利範圍第21項所述之平台裝置,其特徵 在於,該滑塊係設置於一平板上。 23. 如申請專利範圍第22項所述之平台裝置,其特徵 在於’該框狀構件係設置於該平板上, 24. 如申請專利範圍第19項所述之平台裝置,其特徵 在於包括一位置檢測裝置,係在該滑塊具有一反射面,使 一測長光束照射該反射面以檢測該滑塊之該第二軸方向的 位置。 25. 如申請專利範圍第24項所述之平台裝置,其特徵 在於,該一對定子係個別設置於不包圍該測長光束之位置。 26. 一種平台裝置,其特徵在於包括: 一滑塊’係支撐一物體並可以移動; —驅動裝置,係具有連接於該滑塊之一移動元件,以 及與δ亥移動元件協動之一定子,以使該滑塊沿一第一軸方 向驅動; λ 平衡質量,係具有支撐該定子之一支撐部與一重量 部由驅動該滑塊時所產力之—反力加以驅動; 一反射構件,係以位置於該重量部與該定子之間的狀 態設置於該滑塊;以及 松、置檢'収置係使~測長光束照射該反射構件以 檢測該滑塊之位置。 27. 如申請專利範圍第26項所述之平台裝Ϊ,其特徵 12838ρΐΠ 爲第_01號中文說明書無劃線修正本 修正日期,⑼月4日 在^該滑塊,係在該滑塊之-中立面具#置該物體之 一載置部。 28.如申請專利範圍第28項所述之平台骏置,其特徵 在於’該滑塊係設置於一平板上。 29·^請專利範圍第28項所述之平台u,其特徵 在於’該平衡質量係加以設於該平板上。 3〇.—種平台裝置,其特徵在於包括: 動;一滑塊’係設置有-反射構件,切—物體並可以移 驅動裝置’係具有連接於該滑—一 、 驅料所m反力加;切較子使滑塊 兮反一射^檢測裝1 ’係經由該透明部使一測長光束照射 β玄反射構件以檢測該滑塊之位置。 六於如申s月專利範圍帛3〇項所述之平台裝置,其特徵 3部_塊係在該滑塊之—中立面具有栽置該物體之一 如申吻專利範園第3〇項所述之平台穿置,其特徵 在於,該滑塊係設置於—平板上。 、 如申吻專利範圍第32項所述之平台穿置,其特徵 在於’該平衡質量係設置於該平板上。、 65 1338323 12838pifl 爲第92135501號中文說明書無劃線修正本 修正日期:99年10月4曰 四、指定代表圖: (一) 本案指定代表圖為:圖1 (二) 本代表圖之元件代表符號簡單說明: 16 光柵平台平板 16a 突部 16b 矩形開口 18 框狀構件(重量部) 18a 凹狀部 18b 、 18c 矩形開口(開口部) gl ' 2,2 窗玻璃(透明部) 36、38、40 定子單元 58 供氣口 59A、59B 排氣溝 6〇! ' 602 ' 603 磁極單元(第一部份) 6h、612、613 電樞單元(第二部份) 64i、642、643 支持台 136! ' 1362 ' 138, ' 1382 磁極單元(第一部份) 14〇! ' 1402 電樞單元(第二定子) 152、154、156 固定構件(支撐部) 五、本案若有化學式時,請揭示最能顯示發明特徵 的化學式: Ml ”、、
TW092135501A 2003-02-17 2003-12-16 Stage device, exposure device and manufacguring method of devices TWI338323B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003037929 2003-02-17

Publications (2)

Publication Number Publication Date
TW200421445A TW200421445A (en) 2004-10-16
TWI338323B true TWI338323B (en) 2011-03-01

Family

ID=32866377

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092135501A TWI338323B (en) 2003-02-17 2003-12-16 Stage device, exposure device and manufacguring method of devices

Country Status (8)

Country Link
US (3) US7489389B2 (zh)
EP (4) EP3038138B1 (zh)
JP (3) JP4356114B2 (zh)
KR (2) KR101134958B1 (zh)
CN (3) CN102103331B (zh)
HK (2) HK1221553A1 (zh)
TW (1) TWI338323B (zh)
WO (1) WO2004073053A1 (zh)

Families Citing this family (414)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US7375800B2 (en) * 2004-09-09 2008-05-20 Nikon Corporation Non-contact pneumatic transfer for stages with small motion
JP4765937B2 (ja) * 2004-10-01 2011-09-07 株式会社ニコン リニアモータ、ステージ装置、及び露光装置
US7869000B2 (en) * 2004-11-02 2011-01-11 Nikon Corporation Stage assembly with lightweight fine stage and low transmissibility
US7417714B2 (en) * 2004-11-02 2008-08-26 Nikon Corporation Stage assembly with measurement system initialization, vibration compensation, low transmissibility, and lightweight fine stage
WO2006052855A2 (en) * 2004-11-04 2006-05-18 Nikon Corporation Fine stage z support apparatus
JP2006211873A (ja) * 2005-01-31 2006-08-10 Canon Inc 移動体制御装置及び移動体制御方法
EP1865327A1 (en) * 2005-02-23 2007-12-12 Kyocera Corporation Speed detection device for movable body and drive stage using the same
KR20070115859A (ko) 2005-03-18 2007-12-06 가부시키가이샤 니콘 노광 방법 및 노광 장치, 디바이스 제조 방법, 그리고 노광장치의 평가 방법
CN100514193C (zh) * 2005-03-29 2009-07-15 株式会社尼康 曝光装置、曝光装置的制造方法以及微元件的制造方法
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
JP5040657B2 (ja) * 2005-10-24 2012-10-03 株式会社ニコン 露光装置、露光方法、デバイスの製造方法、デバイス組立方法
US7554107B2 (en) * 2005-11-04 2009-06-30 Nuflare Technology, Inc. Writing method and writing apparatus of charged particle beam, positional deviation measuring method, and position measuring apparatus
US7643130B2 (en) 2005-11-04 2010-01-05 Nuflare Technology, Inc. Position measuring apparatus and positional deviation measuring method
US20070267995A1 (en) * 2006-05-18 2007-11-22 Nikon Corporation Six Degree-of-Freedom Stage Apparatus
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7804582B2 (en) * 2006-07-28 2010-09-28 Asml Netherlands B.V. Lithographic apparatus, method of calibrating a lithographic apparatus and device manufacturing method
US20080073596A1 (en) * 2006-08-24 2008-03-27 Asml Netherlands B.V. Lithographic apparatus and method
JP5141979B2 (ja) * 2006-09-29 2013-02-13 株式会社ニコン ステージ装置および露光装置
US7603785B2 (en) * 2007-02-20 2009-10-20 Electro Scientific Industries, Inc. Air bearing assembly for guiding motion of optical components of a laser processing system
US7889322B2 (en) * 2007-02-20 2011-02-15 Electro Scientific Industries, Inc. Specimen inspection stage implemented with processing stage coupling mechanism
US7886449B2 (en) * 2007-02-20 2011-02-15 Electro Scientific Industries, Inc. Flexure guide bearing for short stroke stage
US8749753B2 (en) * 2007-04-27 2014-06-10 Nikon Corporation Movable body apparatus, exposure apparatus and optical system unit, and device manufacturing method
US20080285004A1 (en) * 2007-05-18 2008-11-20 Nikon Corporation Monolithic, Non-Contact Six Degree-of-Freedom Stage Apparatus
WO2008149853A1 (ja) * 2007-06-04 2008-12-11 Nikon Corporation 環境制御装置、ステージ装置、露光装置、及びデバイス製造方法
WO2009050675A2 (en) * 2007-10-19 2009-04-23 Koninklijke Philips Electronics N.V. Displacement device with precision position measurement
US8964166B2 (en) * 2007-12-17 2015-02-24 Nikon Corporation Stage device, exposure apparatus and method of producing device
US8063630B2 (en) * 2008-03-14 2011-11-22 Tdk Corporation Testing method for thin-film magnetic head and jig used therefor
US8358401B2 (en) * 2008-04-11 2013-01-22 Nikon Corporation Stage apparatus, exposure apparatus and device manufacturing method
JP5146183B2 (ja) * 2008-07-31 2013-02-20 株式会社ニコン 露光方法、デバイスの製造方法及び露光装置
US9304385B2 (en) * 2008-09-16 2016-04-05 Nikon Corporation Exposure method and device manufacturing method including selective deformation of a mask
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5375057B2 (ja) * 2008-12-05 2013-12-25 株式会社ニコン ステージ装置、露光装置及びデバイス製造方法
US8659746B2 (en) * 2009-03-04 2014-02-25 Nikon Corporation Movable body apparatus, exposure apparatus and device manufacturing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010131485A1 (ja) * 2009-05-15 2010-11-18 株式会社ニコン 移動体装置、用力伝達装置、及び露光装置、並びにデバイス製造方法
JP5667568B2 (ja) * 2009-08-07 2015-02-12 株式会社ニコン 移動体装置、露光装置、及びデバイス製造方法
US20110032495A1 (en) * 2009-08-07 2011-02-10 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102375345B (zh) * 2010-08-18 2013-09-11 上海微电子装备有限公司 可动光学元件调节驱动装置
US20120064460A1 (en) * 2010-09-07 2012-03-15 Nikon Corporation Movable body apparatus, object processing device, exposure apparatus, flat-panel display manufacturing method, and device manufacturing method
NL2007279A (en) * 2010-09-28 2012-03-29 Asml Netherlands Bv Method for calibrating a target surface of a position measurement system, position measurement system, and lithographic apparatus.
JPWO2012115002A1 (ja) 2011-02-22 2014-07-07 株式会社ニコン 保持装置、露光装置、及びデバイスの製造方法
CN102789136B (zh) * 2011-05-19 2014-08-20 上海微电子装备有限公司 气浮支撑***
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5689047B2 (ja) * 2011-10-12 2015-03-25 東京エレクトロン株式会社 基体処理システム用の基体搬送装置
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
USD702245S1 (en) * 2012-01-11 2014-04-08 Victor Susman Scanning frame
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9651849B2 (en) * 2012-03-16 2017-05-16 Toptica Photonics Ag Low outgassing resonator
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8772731B2 (en) * 2012-04-15 2014-07-08 Kla-Tencor Corporation Apparatus and method for synchronizing sample stage motion with a time delay integration charge-couple device in a semiconductor inspection tool
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6255152B2 (ja) * 2012-07-24 2017-12-27 株式会社日立ハイテクノロジーズ 検査装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
WO2014044477A1 (en) * 2012-09-18 2014-03-27 Asml Netherlands B.V. Stage system and lithographic apparatus comprising such stage system
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9331624B2 (en) * 2013-02-25 2016-05-03 National Taiwan University Thrust ripple mapping system in a precision stage and method thereof
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWI486569B (zh) * 2013-06-10 2015-06-01 Pegatron Corp 氣密測試設備及氣密測試方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101862311B1 (ko) * 2013-10-22 2018-05-29 에이피시스템 주식회사 기판 처리 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015133391A1 (ja) * 2014-03-07 2015-09-11 富士フイルム株式会社 トランジスタの製造方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10082461B2 (en) * 2014-07-29 2018-09-25 Nanometrics Incorporated Optical metrology with purged reference chip
US9958673B2 (en) * 2014-07-29 2018-05-01 Nanometrics Incorporated Protected lens cover plate for an optical metrology device
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN107111249A (zh) * 2014-12-31 2017-08-29 Asml控股股份有限公司 具有图案化装置环境的光刻设备
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10451542B2 (en) 2017-12-05 2019-10-22 Nanometrics Incorporated Local purge within metrology and inspection systems
WO2019115196A1 (en) * 2017-12-14 2019-06-20 Asml Netherlands B.V. Lithographic apparatus with improved patterning performance
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111381452B (zh) * 2018-12-29 2021-11-02 上海微电子装备(集团)股份有限公司 一种掩模板冷却装置及光刻设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN213304093U (zh) * 2021-03-19 2021-05-28 台湾积体电路制造股份有限公司 晶圆位置采集机构及晶圆纠偏***
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023104051A (ja) * 2022-01-17 2023-07-28 株式会社日立ハイテク ステージ装置、荷電粒子線装置及び真空装置
WO2024128069A1 (ja) * 2022-12-16 2024-06-20 株式会社ニコン 物体保持装置、露光装置、物体移動方法、及び物体保持システム
TWI836925B (zh) * 2023-03-03 2024-03-21 家碩科技股份有限公司 光罩盒次元件的檢測裝置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780617A (en) 1984-08-09 1988-10-25 Nippon Kogaku K.K. Method for successive alignment of chip patterns on a substrate
JPS6144429A (ja) 1984-08-09 1986-03-04 Nippon Kogaku Kk <Nikon> 位置合わせ方法、及び位置合せ装置
JP2847883B2 (ja) 1990-03-30 1999-01-20 株式会社ニコン 反射屈折縮小投影光学系
US5220454A (en) 1990-03-30 1993-06-15 Nikon Corporation Cata-dioptric reduction projection optical system
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
JP3265503B2 (ja) 1993-06-11 2002-03-11 株式会社ニコン 露光方法及び装置
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
US5874820A (en) * 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
US6246204B1 (en) * 1994-06-27 2001-06-12 Nikon Corporation Electromagnetic alignment and scanning apparatus
JPH08171054A (ja) 1994-12-16 1996-07-02 Nikon Corp 反射屈折光学系
JPH1020195A (ja) 1996-06-28 1998-01-23 Nikon Corp 反射屈折光学系
JP3155936B2 (ja) * 1997-06-26 2001-04-16 キヤノン株式会社 リニアモータとステージ装置及びこれを用いた走査型露光装置やデバイス製造方法
JP3548411B2 (ja) * 1997-12-26 2004-07-28 キヤノン株式会社 ステージ装置、およびこれを用いた露光装置、ならびにデバイス製造方法
JPH11189332A (ja) * 1997-12-26 1999-07-13 Canon Inc ステージ装置およびこれを用いた露光装置ならびにデバイス製造方法
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
KR20010043861A (ko) * 1998-06-17 2001-05-25 오노 시게오 노광방법 및 장치
JP3129293B2 (ja) * 1998-08-13 2001-01-29 日本電気株式会社 液晶表示装置
JP2000077503A (ja) * 1998-08-28 2000-03-14 Nikon Corp ステージ装置及び露光装置
TWI264617B (en) * 1999-12-21 2006-10-21 Asml Netherlands Bv Balanced positioning system for use in lithographic apparatus
US6281655B1 (en) * 1999-12-23 2001-08-28 Nikon Corporation High performance stage assembly
JP4945845B2 (ja) * 2000-03-31 2012-06-06 株式会社ニコン 光学素子保持装置、鏡筒及び露光装置並びにマイクロデバイスの製造方法。
JP2002110523A (ja) * 2000-09-29 2002-04-12 Sumitomo Heavy Ind Ltd 露光装置
US20020075467A1 (en) * 2000-12-20 2002-06-20 Nikon Corporation Exposure apparatus and method
JP2002175963A (ja) * 2000-12-05 2002-06-21 Nikon Corp ステージ装置とその位置制御方法および露光装置並びに露光方法
JP2002217082A (ja) * 2001-01-12 2002-08-02 Nikon Corp ステージ装置及び露光装置
JP2003309055A (ja) * 2002-04-12 2003-10-31 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices

Also Published As

Publication number Publication date
EP3038138A1 (en) 2016-06-29
CN100380585C (zh) 2008-04-09
CN1717779A (zh) 2006-01-04
US7489389B2 (en) 2009-02-10
US20050248744A1 (en) 2005-11-10
CN101216673B (zh) 2011-05-18
JP2009158974A (ja) 2009-07-16
WO2004073053A1 (ja) 2004-08-26
JP4356114B2 (ja) 2009-11-04
EP3038138B1 (en) 2018-07-18
KR20050098842A (ko) 2005-10-12
JP2009158973A (ja) 2009-07-16
EP1596423A1 (en) 2005-11-16
CN101216673A (zh) 2008-07-09
HK1256632A1 (zh) 2019-09-27
KR101313509B1 (ko) 2013-10-01
JP4985691B2 (ja) 2012-07-25
KR20120007083A (ko) 2012-01-19
EP1596423B1 (en) 2015-08-12
EP1596423A4 (en) 2009-04-08
JP4915431B2 (ja) 2012-04-11
EP3401947A1 (en) 2018-11-14
US9152060B2 (en) 2015-10-06
KR101134958B1 (ko) 2012-04-09
CN102103331B (zh) 2013-02-13
US10120288B2 (en) 2018-11-06
US20090122293A1 (en) 2009-05-14
CN102103331A (zh) 2011-06-22
EP3401947B1 (en) 2019-09-25
US20160048084A1 (en) 2016-02-18
JPWO2004073053A1 (ja) 2006-06-01
TW200421445A (en) 2004-10-16
EP2560192A2 (en) 2013-02-20
EP2560192B1 (en) 2018-03-21
HK1221553A1 (zh) 2017-06-02
EP2560192A3 (en) 2013-05-22

Similar Documents

Publication Publication Date Title
TWI338323B (en) Stage device, exposure device and manufacguring method of devices
US8325326B2 (en) Stage unit, exposure apparatus, and exposure method
US7557529B2 (en) Stage unit and exposure apparatus
KR20140041932A (ko) 이동체 장치, 미동체 및 노광 장치
JP6689489B2 (ja) 移動体装置、露光装置、フラットパネルディスプレイの製造方法、及びデバイス製造方法
EP1850370A1 (en) Stage apparatus and exposure apparatus
JP2006303312A (ja) ステージ装置及び露光装置
WO2004075268A1 (ja) 移動方法、露光方法及び露光装置、並びにデバイス製造方法
WO2014136143A1 (ja) 移動体装置及び露光装置、並びにデバイス製造方法
WO2005036618A1 (ja) ステージ装置及び露光装置
KR20150003312A (ko) 이동체 장치, 노광 장치, 및 디바이스 제조 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees