TWI300587B - Low contamination components for semiconductor processing apparatus and methods for making components - Google Patents

Low contamination components for semiconductor processing apparatus and methods for making components Download PDF

Info

Publication number
TWI300587B
TWI300587B TW096122584A TW96122584A TWI300587B TW I300587 B TWI300587 B TW I300587B TW 096122584 A TW096122584 A TW 096122584A TW 96122584 A TW96122584 A TW 96122584A TW I300587 B TWI300587 B TW I300587B
Authority
TW
Taiwan
Prior art keywords
ceramic material
substrate
coating
plasma
ceramic
Prior art date
Application number
TW096122584A
Other languages
English (en)
Other versions
TW200802545A (en
Inventor
j o'donnell Robert
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200802545A publication Critical patent/TW200802545A/zh
Application granted granted Critical
Publication of TWI300587B publication Critical patent/TWI300587B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/341Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one carbide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/347Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with layers adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)

Description

1300587 九、發明說明: 【發明所屬之技術領域】 本^月關於半導體材料製程設備的元件。該等元件係由 可降低半導體材料製程期間之污染的材料所形成。本發明 亦關於製作該等元件的方法。 【先前技術】 在半導體材料製程領域中,係使用真空製程處理室來# J及化子氣相〉儿積(CVD)在基板上的材料。製程氣體在當 施加射頻(RF)場到該製程氣體時流動到該製程處理室,以 產生該製程氣體的電漿。該電漿對於晶圓上的選擇材料進 行所要的餘刻或 >儿積。平行板變壓器耗合電漿(TCpTM)的 範例,其亦稱之為感應耦合電漿(ICP),及電子迴旋加速 器共振(Electroncyclotron resonance,ECR)反應器及其元 件係揭示於共同擁有的美國專利編號4,34〇,462; 4,948,458; 5,200,232及 5,820,723。 在半導體基板的製程期間,該基板基本上係由基板夾持 器來固定在該真空處理室内的位置,例如在美國專利編號 5,262,029及5,838,529中所揭示者。製程氣體可由多種氣體 供應系統來供應到該處理室。 除了該電漿處理室設備,在處理半導體基板中所使用的 其它設備包含輸送機構、襯墊、升降機構、承載器、門機 構、機器手臂、緊固物及類似者。 電漿係用來藉由#刻來移除材料,或用於沉積材料在基 板上。該電漿餘刻條件可以產生大量的離子轟炸在暴露於 121898.doc 1300587 該電漿中的製矛呈虚报& M i π 與作用川 此離子騎結合於電漿化 子乍用及/或餘刻副產物’即會對於該製程處理室之暴露 於電漿之表面產生明顯的ρ 月』的^、腐敍及侵蝕-腐蝕。因 此,該表面材料可由物理性 久/及化學性攻擊來移除,其 已3侵蝕、腐蝕及/或腐蝕 士 > > 做此攻擊造成的問題包含 有較短的零件壽命、增力輕 ^㈣成本、造成微粒污染、晶圓 上的轉換金屬污染、及製程漂移。 由於這些問題,電聚|y 水裟知處理室係設計成包含有例如 ^職圓,之零件,其會限制電漿在正在處理的晶圓之 合與Γ疋’、這些零件係連續地受到電浆的攻擊,因此最終 曰"ί又钱’或累積聚合物舉*士。 〃、、、°最後,這些零件受到這種損 耗,即不再能夠使用。 一 /、有相當紐哥命的零件通常稱 之為耗材」。如果該耗材跫杜认*人/ 何零件的哥命很短,則其擁有成 本很局。耗材及其它零件的 餘會在電漿製程處理室中產 生污染。 由於在這種反應器中電喈 电水% i兄的侵蝕及腐蝕性質,以及 降低粒子及/或金屬污染的φ 、 从 而求’其有需要這種設備之元 件,包含耗材及其它零件,比 ^ L 白具有適當尚的抗侵蝕及抗腐 钱性。已經知道有零件由釭&二 銘為主的材料所形成。但是,由 於電漿之高離子轟炸會侵為 鐵及腐蝕這些材料,產生不可接 受的污染程度(例如微粒污仇R人砰 5杂及金屬雜質污染)。 對於處理半導體材料之古 +之巧度雜質需求,其有需要由材料 所構成的半導體製程裝置夕_ μ 1之凡件可提供改良的抗物理性及 抗化學性攻擊,其中包含 己k餘、腐蝕及/或侵蝕-腐蝕,以 121898.doc 1300587 在其製程期間最小化相關的半導體材料之污染。對於可以 增加該設備元件的使用壽命並藉此降低該裝置的停機時間 的材料,將可有助於降低處理半導體材料的成本。 【發明内容】 本發明藉由提供以陶瓷材料構成的半導體製程裝置的元 件即可滿足上述以及其它的f求,並可提供在電聚處理環 境中對於侵蝕、腐蝕及/或侵蝕_腐蝕的抵抗性。該等元件 可&供對於金屬及微粒的低污染性。 根據本發明的範例性具體實施例,該等陶瓷材料可應用 作為基板表面上的被覆,即用於半導體材料製程設備中的 凡件。舉例而言’ $等元件可用於電漿製程處理室。該等 被覆的70件可在製程期間暴露在電漿時,提供對於侵餘、 腐蝕及/或侵蝕-腐蝕之改良的抵抗性。 根據本發明其它的範例性具體實施例,這些元件可為整 個由保護材料所形成的大量零件。也就是說,該等元件可 為單石。 導體製程裝置之元件的製程之範 陶瓷材料形成這種設備的一元件 該部份包含該元件的最外側表面。該陶瓷 該陶瓷材料可應用作為一被覆 根據本發明來製作一半 例性具體實施例包含由一 之至少一部份 材料包含⑴至少一氧化物、 或氟化物之(ii)鳃、鑭及鏑 化物、碳化物及/或氟化物 氧化錯、氧化鋼及氧化鑭中 覆中單一最大的組成 氮化物、爛化物、碳化物及/ ’及/或至少一給的氮化物、删 。較佳地是,該陶瓷材料包含 至少一種,作為該陶瓷材料被 或 121898.doc
1300587 八了形成為一單石體。 本發明的另—種範例性具體實施例包含施加一陶瓷材料 的被覆在一半導體製程裝置的元件之含金屬或聚合物表面 上。该陶瓷材料包含氧化姶、氮化铪、硼化铪、碳化銓、 或貺化銓’作為該陶瓷材料被覆的單一最大組成。 。。根據本發明之其它範例性具體實施例的方法包含形成以 早石體為形式的一半導體製程裝置之元件。該元件包含氧 化铪、氮化铪、硼化铪、碳化銓、或氟化铪,作 大組成。 根據本發明中製作一半導體製程裝置的元件之製程的範 例性具體實施例包含預備—研聚’其包含作為該單一最大 組成’(1)至少-氧化物、氮化物、蝴化物、碳化物及/或 氟化物之(ii㈣、鑭及鏑’及/或至少—給的氮化物、碼化 物、碳化物及/或I化物;由該研漿形成一所要形狀的綠 ,構;及燒結該綠結構來形成一元件。該陶究材料較佳地 是包含氧化铪、氧化、氧化鏑及氧化鑭中至少—種作為 其單一最大組成。這些製程可用來形成單石元件。 … 根據本發明之半導體製程裝置的—元件的範例性具體實 施例包含至少有一部份含有一陶究材料。該部份包含該元 件的最外侧表面。該陶竞材料包含以下作為該單—最大組 成⑴至少-氧化物、氮化物、爛化物、碳化物及/或氣化 物之(ii)錯、鑭及鏑,及/或至少一給的氮化物、蝴化物、 碳化物及/或氟化物。 根據本發明之半導體製程裝 置之一元件的另一個範例性 121898.doc 1300587 具體實施例包含具有一含金屬或聚合物表面之基板;及在 該表面上的一陶瓷材料之被覆,其中該陶瓷材料包含氧化 铪、氮化铪、硼化铪、碳化铪或氟化铪,作為該陶瓷材料 被覆的單一最大組成。 根據本發明的一半導體製程裝置之元件的另一個範例性 具體實施例,丨包含一單石體,其包含氧化鈐、氮化铪、 硼化铪、碳化鈐或氟化铪,作為單一最大組成。 本發明亦提供半導體製程裝置,其包含上述的元件中至 少一種來提供抗損耗性。 【實施方式】 本發明提供有元件,其可抵抗由於在半導體材料製程裝 置中所產生的電漿造成的物理性及化學性攻擊。如 使用者,該術語「抵抗性」包含但不限於抵抗侵钱、腐餘 及/或侵i腐钕。該等元件係由抵抗性Μ材料所構成。 ^一些範例性具體實施财,該等元件包含形成在基板 的抗侵蝕陶瓷材料所構成的被覆。舉例而言,嗜等 :含基板,及形成在該基板上的一或多種抗侵:: 覆。該等抗侵钕的被覆,且為非金屬材料二皮 蝕及/或腐蝕-侵蝕。 抵抗腐 該等元件基本上 該等元件可為一 在本發明的其它範例性具體實施例中, 係由抗損耗性陶瓷材料構成。舉例而言, 半導體材料製程裝置之大量零件。 根據本發明,由該抗損耗陶 理半導體裝置之元件。 瓷材料所構成的元件可為處 121898.doc 1300587 本’X明亦提供半導體製程裝置,其包含一或多種至少部 份由一抗損耗材料所構成的元件。 此外,本發明提供了至少製作了部份抗損耗材料之元件 的方法。 如上所述,本發明亦可應用到任何適當類型的元件。本 發明可提供對於半導體材料製程裝置之元件表面上之有效 的抵抗性。本技藝專業人士將可瞭解到,根據本發明之抗 損耗材料可應用到使用在處理不同半導體材料之不同的製 波置此外,该抗損耗材料可應用到該製程裝置中不同 勺元件這些範例性元件包含但不限於一電漿及/或真空 處理室之零件,例如像是處理室壁、基板支撐、氣體散佈 系統’包括有噴嘴頭、播板、環、喷嘴等,及緊固物、加 …、、、且件、電漿螢幕、襯墊、輸送模組元件,像是機器手 臂、緊固物、處理室内壁及外壁、及類似者。 根據本發明,該抗損耗材料可包含铪、鳃、鏑及鑭中至 夕種。足些7〇素具有一相當大的分子量,且對於典型的 蝕刻化學物質具有相對的惰性,其認為可以提供在電漿環 境中降低了侵蝕率。較佳地是,該抵抗性材料包含氧化 銓、氧化鳃、氧化鏑及氧化鑭中至少一種作為該陶瓷材料 中單一最大組成。該等陶瓷材料之範例性具體實施例可包 各沒些氧化物中任何的一種或多種。可包含在該等陶瓷材 料中的其它組成在以下做詳細的說明。 根據本發明之該等含铪之陶瓷材料較佳地是含有氧化铪 (haflna)作為該單一最大組成。在一些具體實施例中,該 121898.doc 1300587 等含铪之陶瓷材料基本上可由氧化铪構成。該等含铪之陶 瓷材料除了氧化物之外,亦可含有其它含銓之陶瓷材料, 其中包含但不限於,至少一種硼化铪、氟化铪、氮化銓及 /或碳化铪,或其混合物。 根據本發明,該等含铪陶瓷材料除了上述的氧化铪、硼 化物氟化物及碳化物材料之外可包含其它陶瓷材料。這 些其它的陶瓷材料可包含但不限於由週期表的ΠΑ、HZ A、 IVA、VA、VIA、VIIA、vmA、Ιβ、ΠΒ、πΐΒ、刚及 π 族中所選出的元素之至少-種氧化物、氮化物、侧化物、 氟化物及/或碳化物;及或該等锕系之任何元素的一或多 種氧化物、氮化物、硼化物、氣化物或碳化物(即具有原 子序在58-71之元素)。舉例而言,含铪之陶瓷材料(其亦可 如下述之含勰、含鏑及含鑭之材料)可混合於氧化釔 (yttria)、氧化錯(zirc〇nia)、氧化铭⑷咖㈣、及/或氧化 飾(ceria) 〇 根據本發明之該等含锶陶瓷材料較佳地是包含氧化鳃 ⑻rontia)作為該單一最大組成。在一些具體實施例中,該 等含锶之陶瓷材料基本上可由氧化锶構成。該等含鋇之陶 究材料除了氧化物之外’亦可含有其它含鋇之陶瓷材料, 其中包含但不限於,至少一種删化銷、敦化銘、氮化錄及 /或碳化鳃,或其混合物。 根據本發明,該等含錄陶究材料除了上述的氧化銷、硼 化物、氟化物及碳化物材料之外可包含其它陶瓷材料。這 些其它的陶麵可包含但不限於由週期表的IIA、IIIa、 121898.doc 1300587 IVA、VA、VIA、VIIA、VIIIA、汨、nB、mB、ivb&vb 族中所選出的元素之一或多種氧化物、氮化物、硼化物、 氟化物及碳化物;及/或該等锕系之任何元素的一或多種 氧化物、氮化物、硼化物、氟化物或碳化物。 根據本發明之該等含鏑陶瓷材料較佳地是包含氧化鏑 (dysprosia)作為該單一最大組成。在一些具體實施例中, 該等含鋼之陶兗材料基本上可由氧化鏑構成。該等含鏑之 陶瓷材料除了氧化物之外,亦可含有其它含鏑之陶瓷材 料’其中包含但不限於’至少一種硼化鏑、氟化鏑、氮化 鋼及/或碳化鋼,或其混合物。 根據本發明,該等含鳃陶瓷材料除了上述的氧化鏑、硼 化物、氟化物及碳化物材料之外可包含其它陶瓷材料。這
些其它的陶瓷材料可包含但不限於由週期表的ΠΑ、πια、 IVA、VA、VIA、VIIA、vmA、m、ΠΒ、πΐΒ、ivB^B 族中所選出的it素之至少-種氧化物、氮化物、硼化物、 氟化物及/或碳化物;及或該等锕系之任何元素的一或多 種氧化物、氮化物、硼化物、氟化物或碳化物。 根據本發明之該等含鑭之陶竞材料較佳地是含有氧化鑭 (1⑽th_)作為該單—最大組成。在—些具體實施例中,該 等含鑭之陶究材料基本上可由氧化鑭構成。該等含鑭之陶 竞材料除了氧化物之外’亦可含有其它含鑭之陶竞材料, 其中包含但不限於,至少一種硼化鑭、說化鑭、氮化鑭及 /或碳化鑭,或其混合物。 根據本發明,該等含_竞材料除了上述的氧化鋼、棚 121898.doc 12 1300587 化物、氟化物及碳化物材料之外可包含其它陶瓷材料。這 些其它的陶究材料可包含但不限於由週期表的ha、mA、
IVA VA VIA、VIIA、VIIIA、IB、ΠΒ、ΠΙΒ、…減 VB 知中所選出的兀素之至少一種氧化物、氮化物、硼化物、 氟化物及/或碳化物;及或該等锕系之任何元素的至少一 種乳化物、氮化物、硼化物、氟化物或碳化物,如上所 述。
根據本發明,該等陶究材料可包含上述之含給、勰、鏑 及鑭之材料的混合物。此外,該等陶瓷材料可包含含铪、 鰓、鏑及/或鑭之材料的混合物,及額外的材料,其包含 但不限於由週期表的IIA、IIIA、IVA、VA、via、viia、 VIIIA、IB、IIB、ΙΠΒ、IVB及⑽族中所選出的元素之一 或多種氧化物、氮化物' 硼化物、氟化物及碳化物;及/ 或該等婀系之任何元素的一或多種氧化物、氮化物、硼化 物、氟化物或碳化物,如上所述。
根據本發明,為了嘗試最小化在加入有含一或多種銓、 勰、鏑及/或鑭之元件的設備中所處理之電子材料的汙 染,其係要該等陶瓷材料要儘可能地純,例如包含可能會 污染之元素的最小量,例如過渡金屬、鹼土族金屬或類似 者。舉例而言,該等含铪、锶、鏑及鑭之陶瓷材料即可充 伤地純,以避免晶圓上之1010 atoms/cm2或更高之污染, 較佳地是105 atoms/cm2或更高。較佳地是,這些陶瓷材料 之純度至少為約99%,且更佳地是由約99.99%到約1〇〇%。 此外,根據本發明之含铪、勰、鏑及鑭之陶瓷材料具有 121898.doc -13 - 1300587 一、’坦的表面完工。較佳地是,這些材料應用作為被覆、 或形成到單石元件,其具有由約5到約4〇〇 表面粗 糖度(RA),且更佳地是小於約200 pinch。 根據本發明,該等含給、錯、、鋼及鑭之陶莞材料亦可提 i、底部基板之咼鍵結強度。較佳地是,這些應用作為被覆 之材料具有由約2,000 psi到約7,〇〇〇psi之拉伸鍵結強度。 同時,根據本發明之該等含铪、鎇、鏑及鑭之陶瓷材料 可提ί、低的夕孔度,其較佳地是最小化與該底部基板之活 性氣體之接觸(例如含只^之氣體),以及由於該活性氣體 造成之後續的該基板之腐蝕、侵蝕及/或腐蝕_侵蝕。較佳 地是,該等陶瓷材料之多孔性小於體積的15%,更佳地是 小於約體積的3%。 此外,根據本發明之含铪、鳃、鏑及鑭之陶瓷材料可提 供高硬度來抵抗侵姓。較佳地是,該等陶究材料之硬度 (HV03)由約 200到約 800。 上述的陶瓷材料可提供所要的抵抗特性來用於半導體製 程裝置,例如像是電漿蝕刻處理器。特別是,含铪、鋰、 鋼及鍤]之陶究材料可提供表面,其可以降低離子造成的侵 蝕,及在電漿反應器處理室中微粒污染的相關位準。含 銓、锶、鏑及鑭之陶瓷材料亦可保護底部的基板,來同時 對抗由電漿造成的物理性攻擊及化學性攻擊。 根據本發明之抵抗性陶瓷材料可用於蝕刻及沉積應用中 多種不同的電漿氣體,以及其它的用途。舉例而言,典型 的蝕刻化學物質包含例如含氣的氣體,其包含但不限於 121898.doc -14- 1300587 CL、HC1及BCI3 ;含溴的氣體,其包含但不限於溴及 ♦ HBr;含氧的氣體,其包含但不限於02、H20及S02;含氟 的氣體,其包含但不限於CF4、CH2F2、NF3、eH3F、eHF3 及SF6,及惰性及其它氣體,其包含但不限於取、A]r及 N2。這些及其它氣體根據所想要的電漿可用於任何適當的 組合。範例性電漿反應器蝕刻作業條件如下所述··溫度由 、、勺25 C到約90°C,壓力由約0 mT〇rr到約1〇〇⑽丁氣體 • 流速由約10 到約1000 seem;及電漿功率由約〇 Watts 到約 1500 Watts。 在本發明的一範例性較佳的具體實施例中,該等含铪、 鋰、鏑及鑭之陶瓷材料係提供作為一基板上的被覆。這些 被覆可由本技藝中已知的方法來應用。一種較佳的被覆方 法為熱塗佈(例如電漿塗佈p在此方法中,陶竟粉末即溶 解並加入在一氣體流中,其導引到正在喷灑塗佈之元件 處。㈣塗佈技術的好處為冑等元件係僅被覆在面對該熱 籲 #佈槍之側邊上’且可使用遮罩來保護其它的區域。習用 的熱塗佈技術,包含電漿塗佈’係由Pawl〇wski揭示於 "The Science and Engineering of Thermal Spray Coating-(John Wiiey ’ I995年)。此說明在此完整引用作為參考。 一種特別較佳的熱塗佈方法為電漿塗佈。電漿塗佈可用 來被覆處理室及其它處理室元件之均句細緻的内部表面。 圖1所示為一典型的電漿塗佈製程。該被覆材料通常的類 里為秦末112,其通常透過一外部粉末埠132噴射到一高溫 電漿火燄114中。該粉末係快速地加熱並加速到一高速 121898.doc -15- 1300587 度。該熱材料衝擊到該基板表面116上,並快速地冷卻來 形成一被覆118。 、該電漿塗佈搶120包含一陽極122及一陰極124,兩者皆 * 為水冷卻。電漿氣體126(如氬、氮、氫、氦)流動環繞該陰 極,其方向概略由箭頭128指示,並通過該陽極的一束緊 喷嘴。該電漿係由-高電壓放射所啟始,其造成區域性的 離子化及直流電弧的導電路徑,來形成在該陰極丨24 • ㈣陽極122之間。由該電弧加熱的阻抗造成該氣體形成 一電漿。該電漿離開該陽極喷嘴部份成為一自由或中性電 漿火燄(不會承載電流的電漿)。當該電漿已穩定而預備 塗佈,該電弧往下延伸到該喷嘴。該粉末112非常快速地 加入及加速,使得在該噴嘴尖端及該基板表面之間的塗佈 距離136之大小在125到丨5〇 mm。電漿塗佈被覆由融洽或加 熱軟化的粒子產i,而造成對於該基板表面116之衝擊。 根據本發明,表面處理技術,例如清洗及粒子***,其 » I用來提供鍵結之更為化學性及物理性活化的表面。在被 覆之前,該基板的表面較佳地是整個清洗,以移除不想要 的表面材料,例如氧化物或油脂。該表面在被覆之前亦可 由任何適當的方法來粗糖化’例如砂粒***。此粗糖化可 增加鍵結之可用的表面積’其可增加該被覆鍵結強度。該 粗糖表面輪廟亦可增進該被覆與該基板之機械性鍵入或連 扣。 對於紹反應器元件’其較佳地是在被覆之前,電鑛要被 被覆的元件之表®,但不粗链化該電鑛的表自。該電鑛層 121898.doc -16- 1300587 提ί、額外的阻障,即除了由該被覆提供的保護之外,來 對抗底部铭的腐蝕性攻擊。形成在㈣基板上之電鍍的銘 二例如6061-Τ6鋁’其可具有任何適當的厚度。舉例而 。忒厚度基本上可由約2 mil到約1〇祕。該電鍍的表面 可具有任何適當的拋光。舉例而言,該表面抛光可具有一 RA值在約20 μιης1ι到約1〇〇咖仏。該電錢層可由適當的 技術來密封,例如藉由使用煮沸去離子水。 田 根據本發明’含氧化給、氧化銷、氧钱及/或氧化綱 T陶瓷材料較佳地是可使用一電漿塗佈製程來施加。但 疋,亦可使用適合用於陶究材料之任何其它被覆方法。舉 例而言,該等含氧化給、氧化銷、氧化鋼及/或氧化鑭之 陶究被覆亦可由㈣、賤鍍沉積、沉浸被覆、化學氣相沉 積、蒸鍍及凝結(包含電子束蒸發及凝結)、物理氣相沉 積、熱壓力均衡的衝壓、冷壓力均衡的衝壓、壓縮成型、 鑄模、壓緊及燒結、及熱塗佈。 在本發明的一些較佳的具體實施例中,該含铪、锶、鏑 及/或鑭之陶瓷元件係用於一高密度電漿反應器。此種範 例丨生反應器為美國加州Fremont的Lam Research Corporation所生產的Tcp 94〇〇TM電漿蝕刻反應器。在該 TCP 9400頂反應器中,製程氣體(像是Cl2 、HBr、CF4、 CH2F2、02、n2、Ar、SF6及NF3)係導入到位在該蝕刻處理 室之底部的氣體環中,然後導引通過氣體孔進到該反應器 處理室中。圖2所示為TCP 940〇™蝕刻反應器之氣體環。 如圖2所示,該氣體環4〇之主體環繞一基板支撐料。該氣 121898.doc 17. 1300587 體環40的底部表面包含一環形的氣體導引溝渠6〇。前述的 氣體孔50延伸到該氣體導引溝渠6〇中。 孩氣體環4 0基本上由铭構成。該氣體環的上表面直接暴 露於該電漿,因此會受到侵蝕、腐蝕、及腐蝕_侵蝕。為 了保護這些表面,該氣體環基本上覆蓋一氧化鋁層。但 是,此層相當地脆,且會在該反應器使用中的重覆熱循環 期間龜裂。在該電鍍層中形成的龜裂可允許該腐蝕性製程 氣體來攻擊底部的鋁層,降低零件壽命,並有助於所處理 之基板的金屬及微粒污染,例如晶圓、平面顯示器基板及 類似者。 & 根據本發明的範例性具體實施例,該氣體環的暴露表面 可覆蓋一含铪、勰、鏑及/或鑭之陶瓷材料的被覆42。該 等陶兗材料可被覆在一裸露鋁層(其可具有或不具有一自/ …、、的氧化物表面膜),或一氧化鋁層(例如具有一電鍍表面 鋁)田覆盍该氣體環時,該被覆可允許來部份地穿透 氣體孔中,以被覆及保護其内壁,但不會阻礙該等開 舉例而吕,該等氣體孔可在該被覆製程期間塞住或遮 罩。 將孩TCP 9400TM蝕刻反應器之可在製程期間暴露於該電 水之其它το件根據本發明亦可被覆有含铪、鋰、鏑及/或 :之:瓷Γ料。這些元件包含例如處理室壁、處理室襯 夾盤政置,及相對於該基板之介電窗。根據本發明在 夾盤旋置的上表面之上提供有一被覆,例如一靜電夾 盤,其提供在清洗循環期間提供對於該爽盤之額外保護, 121898.doc > 18- 1300587 其中並不存在一晶圓,且該夾盤的上表面藉此可直接地暴 露於該電漿。 根據本發明,可包含該含铪、勰、鏑及/或鑭之陶瓷材 • 料之另一個範例性複晶矽蝕刻反應器,其可為Versys™複 曰曰碎钱刻器或23 00TM餘刻器,其亦由美國加州Frem〇nt的 Lam Research Corporation製造,如圖3所示。該反應器包 含一反應器處理室150,其包括具有一靜電夾盤154之基板 φ 支撐152,其提供一夾持力給安裝在其上的一基板(未示 出)° 一聚焦環170安裝在環繞該靜電夾盤ι54之基板支撐 152上。該基板支撐152亦可用來施加—RF偏壓到該基板。 口亥基板亦可使用例如氦之熱轉移氣體之背部冷卻。在該 2300™蝕刻器中,製程氣體(如a】、h份、ch、CH2F2、 〇2 N2 Ar、SF6或NF3)係透過位在該處理室ι5〇上方並連 接到一氣體供應156之氣體喷射器168來引入到該處理室 一 1 50中,4氣體喷射器168基本上由石英或像是氧化銘之陶 Φ 瓷材料所製成。如所示,一感應線圈15 8可由適當的rF源 =示出)來供電,以提供一高密度(如1〇11_ 1〇12 ―心 =水4感應線圈15 8麵合rf能量通過介電窗16〇到該處理 室W的内部。該介電窗⑽基本上可由石英或氧化紹所製 成。该介電窗16G係顯示成安裝在—環形構件162上。該環 形構件1/2區隔介電窗16〇與該處理室15〇的上冑,並稱之 為一「氣體分佈板」。一處理室襯墊164環繞該基板支撐 152。該處理室⑽可包含適當的真空抽取裝置(未: 出)用於維持該處理室的内部在所想要的壓力。 121898.doc -19- 1300587
在圖3中,反應器元件的選擇出的内部表面,例如該環 形構件162、介電窗160、基板支撐152、處理室襯墊164、 氣體喷射器168、聚焦環170及該靜電夾盤154,根據本發 明,其顯示成被覆有一含銓、勰、鏑及/或鑭之陶瓷材料 的被覆166。如圖3所示,該處理室150之選擇的内部表面 及该處理室襯墊164之下的基板支撐152根據本發明亦可具 有一含铪、勰、鏑及/或鑭之陶瓷材料的被覆166。任何或 所有的這些表面,以及任何其它内部反應器表面,根據本 發明可具有一被覆。如下述,任何或所有這些元件根據本 發明另可由含铪、鋰、鏑及/或鑭之陶瓷材料的單石體來 製造。 根據本發明’該等元件可用於一高密度氧化物蝕刻製 程。此種範例性氧化物蝕刻反應器為美國加州]?1^111〇加的 Lam Research Corporation所生產的Tcp 91〇〇TM電漿蝕刻反 應器。在該tcp 91〇〇™反應器中,該氣體分佈板為一L在 該TCP™窗正下方之圓形板,其係在_半導體晶圓之上或 與其平行的一平面中之反應器的上方處。該氣體分佈板係 密封到位在該氣體分佈板之周圍之一氣體分佈環。該氣體 分佈環由一來源供應氣體到由該氣體分佈板、形式為一平 之内側表面來供應 面螺旋線圈之位在一天線之下的一窗 RF能量到該反應器+,及該氣體分佈環所定義的體積内。 該氣體分佈板包含-指定直徑的孔’其延伸通過該板。穿 過該氣體分佈板之這些孔的空間分佈可以變化來最佳化要 被钮刻的該等疊層之#刻均勻性,例如一光阻層、一二氧 121898.doc -20- 1300587 化石夕層、及該晶圓上的底層材料。該氣體分佈板之橫截面 • 形狀可以變化來控制該RF功率的分佈到該反應器中的電漿 /氣體为佈板為一介電材料,其可以耦合此rf功率通 °〆氣體刀佈板到該反應器中。再者,其需要該氣體分佈 板的材料可高度抵抗在環境中的化學濺鍍蝕刻,例如氧氣 或氫氣酸碳氣體電漿,以防止崩潰,以及伴隨產生所得到 的粒子。 • 圖4所不為前述型式的電漿反應器。該反應器包含一反 應器處理至10。一基板夾持器12包含-靜電夾盤34,其提 供:夾緊力及一RF偏壓到一基板13。該基板可使用一熱轉 移乱體來月部冷部,例如氦。一聚焦環14限定電聚在該基 板^上的區域中。用於在該處理室中維持一高密度(如 10^1012 ions/cm3)電漿之能量源,例如由一適當的RF源所 供電的-天線18,以提供一高密度電漿,其係置於該反應 處理至1 〇之上方。該反應器反應室包含一真空抽氣裝 • 置,用於維持該處理室的内部在所想要的壓力(例如低於 50 mTorr,基本上為 U0 mT〇rr)。 一實質上為平面的介電窗2〇係提供在該天線18與該製程 處理室10之間,並形成該真空壁在該製程處理室1〇之上 方。一氣體分佈板22提供在該窗2〇之下,並包含用於由該 氣體供應23傳遞製程氣體到該處理室1〇之開口。一錐形襯 墊30由該氣體分佈板22延伸,並環繞該基板夾持器μ。該 天線18可具有一通道24,其中一溫度控制流體經由入口管 道及出口管道25、26流動。但是,該天線18及/或窗別不 I21898.doc -21 · 1300587 而要冷卻,或可由其它適當的技術來冷卻,例如藉由吹入 氣體在該天線及窗之上,傳送通過一冷卻流體,或與該窗 及/或氣體分佈板有熱轉移接觸,等等。 • 在操作上,像是半導體晶圓的一基板,係位在該基板夾 持器12上,並由一靜電夾盤34所固定。但是亦可使用其它 的夾緊裝置,例如一機械式夾緊機構。此外,氦氣背部冷 部可用來改進該基板與該夾盤之間的熱轉移。然後製程氣 • 體藉由傳送該製程氣體通過該窗20與該氣體分佈板22之間 的間隙來供應到該真空製程處理室1〇。適合的氣體分佈板 配置(如喷嘴頭)係揭示於共同擁有的美國專利編號 5,824,6G5 ; 6,G48,798 ;及 5,863,376 中,其皆在此完整引 用作為參考。一高密度電漿在該基板與該窗之間的空間中 藉由供應適當的RF功率到該天線18來點燃。 在圖4中,δ亥反應器元件的内部表面,例如該氣體分佈 板22、該處理室襯墊3〇、該靜電夾盤%及該聚焦環μ,其 鲁 根據本發明來以一含铪、勰、鋼及/或鑭之陶兗材料的被 覆32來被覆。但是,僅有這些表面及/或其它表面中所選 出的一些可以根據本發明來以含銓、鳃、鏑及/或鑭之陶 瓷材料來被覆。 本技藝專業人士將可瞭解到,上述的高密度複晶矽及介 電蝕刻處理室僅為可根據本發明加入元件之電漿蝕刻反應 器的範例性具體實施例。根據本發明之包括含铪、鋰、鏑 及/或鑭之陶竞材料的元件可用於任何蝕刻反應器(例如一 金屬蝕刻反應器)或其它類型的半導體,其需要可以降低 121898.doc -22- 1300587 電漿導致侵蝕、腐蝕及/或腐蝕-侵蝕及相關的污染之製程 裝置。
舉例而言,其它的元件根據本發明具有一含鈐、锶、鏑 及/或鑭之陶瓷材料的被覆,其包含但不限於處理室壁、 基板夾持器’緊固物等。這些零件基本上可由金屬(如紹) 或陶瓷(氧化鋁)所製成。這些金屬電漿反應器元件基本上 係暴露於電漿,且通常顯示出侵蝕、腐蝕及/或腐蝕-侵蝕 之跡象。其它可根據本發明被覆的零料需要直接暴露於 電聚’但另可暴露腐㈣氣體’例如由處理的晶圓或類似 者所放射的氣體。因此,其它用於處理半導體基板之元件 根據本發明亦可具有含給、㉟、鏑及/或鑭之陶麵表 面及被覆。這種設備可包含輸送機構、氣體供應系統、槪 墊、昇降機構、承載器、開門機構、機器手臂、緊固物及 類似者。 、根據本發明之利用含铪、冑、鏑及/或鑭之陶竞材料來 被覆的金屬材料之範例包含鋁、鋁合金、不鏽鋼及耐火金 屬’例如_侧呂、及304及316不錄鋼。因為含給、 化添加物、粒狀結構或表面條件來使用。 此外,許多種陶瓷或聚合物材料根據本發明 含銓、勰、鏑及/或鑭之陶瓷材料。特別是, 錄、鏑及/或鑭之陶究材料形成_抗損耗被覆在該元件 上,該底部元件可被保護避免直接暴露於該電^因此, 該金屬基板可防止受到電漿之侵敍、腐餘及/或腐姓-侵姓 攻擊。因此,金屬化材料’例如鋁合金,#可不需要合金 亦可被覆一 該反應器元 121898.doc -23- 1300587 化石夕(Sic)、t 不限於氧、碳 (BN 厂化矽(Si3N4)、碳化蝴⑻c)及/或氮化蝴 )。可以破覆的聚合物材料較佳地是為 反應器中所存在的高溫條件。 X在電水 需要的話,一或多個材料的中間層可提供在該有被 板的表面之間’及含給1、鋼及/或鑭之陶竟材 "被覆。圖5所示為根據本發明一範例性較佳具體實施例 之被覆的兀件。—第一中間被覆8〇係由一習用技術選擇性 地,覆在-基板7〇上。該選擇性的第一中間被覆⑼係充份 地厚’其可黏結到該基板,並進—步允許它來在形成一選 擇!生的第一中間被覆9〇、或該含給、錄'、銷及,或綱之陶 竞材料被覆HK)之前來處理。該第一中間被覆⑽及該第二 中間被覆9〇可具有任何適當的厚度來提供這些所想要的特 性。這些被覆之厚度至少為約〇·〇〇1英付,較佳地是由約 0.001到、力0.25英吋,更佳地是由約〇 〇〇1到約〇15英吋且 最佳地是由約0.001英吋到約〇 〇5英吋。 在沉積該選擇性第一中間被覆8〇到該反應器元件7〇上之 後,該第-中間被覆可以被處理,例如藉由使用任何適當 的技術來粗糙化,然後被覆有該選擇性的第二中間被覆 9〇,或被覆有含铪、勰、鏑及/或鑭之陶瓷材料被覆1〇〇。 一粗糙化的第一中間被覆80提供一特別良好的鍵結到後續 所施加的被覆。最好是該第二中間被覆9〇賦與一高機械壓 縮強度到該第一中間被覆80,並降低在該第二中間被覆9〇 中的裂縫形成。 121898.doc -24- 1300587 该弟二中間被覆90係充份 8〇,並允哞… 予來黏結到该弟-中間被覆 允夺其在形成任何額外的中間被覆、或該外 給、銷、鏑及/或鑭之陶瓷材粗 二 无材枓破覆100之前來處理。該第 W9G亦可處理,例如藉由粗縫化。該第二中間被 =可具有任何適當的厚度來提供這些所想要的特性,例 :厚度至少約〇顧英_、較佳地是由狀謝到約〇25英
:’更+佳地是由約〇顧到約Gl5英忖,且最佳地是由約 0·001英吋到約〇 〇5英吋。 。^ 第一中間被覆可由任何適於用在半導體電漿製 程處理室之任何金屬化、陶竟及聚合物材料所製成。可使 :之特別適用的金屬包含但不限於耐火金屬,其可承受高 製程溫度。較佳的陶竟包含但不限於A1203、Sic、稿、 1 Am、ΤΠ02及其混合物。較佳的聚合物包含但不限 於氣聚合物,例如聚四氣乙烯及聚醯胺。 A等中間被覆可由任何適當的沉積技術來施加,例如電 鑛(如無電電鐵或電錢)、錢鍍、沉浸被覆叫匕學氣相沉 、^物理氣相/儿積、電泳沉積、熱壓力均衡衝壓、冷壓力 均衡衝壓、壓縮成型、鑄造、Μ緊及燒結、及熱塗佈(例 如電漿塗佈)。 5亥選擇性的第一中間被覆80及第二中間被覆90可具有彼 此相同或不同的組成係根據其所要的特性。額外的中 間破覆’例如相同或不同材料之第三、第四或第五中間被 覆’其可在需要時提供在該被覆及該基板之間。 圖6所不為根據本發明之含銓、勰、鏑及/或鑭之陶瓷材 121898.doc -25- 1300587 料被覆之另-個範例性具體實施例。該被覆⑽可直接沉 積在一基板上,其為該元件70之外部表面。該被覆可具有 任何適當的厚度來提供所想要的抗損耗程度給該元件。特 別是’該被覆⑽之厚度範圍約為〇侧英时到約i英对, ,佳地是約請i英忖到和英心且最佳地是由約 央口寸到約〇 · 〇 5英口寸。讀膝J姿思 二 兴了鑌陶瓷層的厚度可選擇為相容於要在 該反應器中遇到的該電漿環境(如蝕刻、cvd等 如上所述’熱塗佈為根據本發明來提供具有被覆表面之 凡件的—較佳的方法。但是,亦可使用其它的被覆方法, 其包含例如其它的沉積技術,例如漉鍍、沉浸被覆、化學 氣相沉積及物理氣相沉積、熱壓力均衡衝壓、冷壓力均衡 衝壓、壓縮成型、鑄造及壓緊燒結技術。 如上所述,半導體製程裝置的元件亦可由含給、錄、鏑 及/或鑭之陶究材料製造成為單石體。這些單石體可為鱼 其它元件之隔離的本體或覆蓋。舉例而言,根據本發明之 含給、錄、鏑及/或鑭之陶竟材料可形成為覆蓋,例如襯 塾’其係建構來覆蓋反應器元件之暴露的表面。這些覆芸 可藉由任何適當的緊固技術來附著於在反應器處理室中= 表面’其包含例如黏結鍵結或藉由機械式緊固物。當使用 緊固物時’該等緊固物本身如果暴露於該電聚時,較佳地 是亦必須由-抗㈣材料製成來增進其使用壽命。此外, 含铪、鋰、鏑及/或鑭之陶究材料覆蓋可建構來連扣於底 部的反應n元件。單石覆蓋可提供在任何適t的基板上, 例如像是在壁面及其它表面上。 121898.doc -26- 1300587 由S給、銷、鏑及/或鑭之陶瓷材料製造之單石體 的範例性方法可包含製備-研t,其包含例如氧化給、氧 化銘、减錦及/或氧化鑭;由該研t形成-所想要的形 狀及大丨之 ''杂結構;及燒結該結構來形成—燒結體。該綠 釔構可形成為任何所要的電漿反應器元件之形狀。陶瓷處 技術之細即可見於w D Kingery、H κ B籍⑶及
D.R.Uhlmann所著之"Introduction to Ceramics”,第 2版(J
y ns 1976年)。此說明在此完整引用作為參考。 A该早石7L件較佳地是電漿反應器的電漿暴露之元件。適 當的兀件可包含例如處理室壁'基板支撐、氣體分佈系 統,當中包含噴嘴頭、播板、環、喷嘴、緊固物、加熱元 件、電漿螢幕、襯塾、輸送模組元件、例如機器手臂、内 部及外部處理室壁等,及類似者。這種元件之特定的範例 為圖7所示之反應器元件m。該反應器元件⑴為由一含 氧化銓、氧化鋇、氧化鏑及/或氧化鑭之陶瓷材料所製造 的單石體。 /吞氧化铪、氧化鋰、氧化鏑及/或鑭之陶瓷材料可提 供在所有或部份的該反應器處理室及元件上。在一較佳的 八體貫細例中,6亥覆蓋或單石體係提供在暴露於該電浆環 境之反應H處理室之區域上’例如那些直接接觸於該電聚 的零件,或位在處理室元件之後的零件(如襯墊)。此外, 其?佳地是該含氧化铪、氧化勰、氧化鏑及/或鑭之被覆 或早石體可提供在受到相當高偏壓電壓(即相當高的濺鍍 離子能量)之反應器處理室之區域内。 12I898.doc -27- 1300587 根據本發明,可藉由施加一含給、銷、鋼及/或綱之陶 瓷被覆或覆蓋、或藉由建構一含單石铪、勰、鏑及/或鑭 之陶究元件 ό可貝現這些好處。也就是說,在電漿反廣 器中可達到較低的侵蝕率。因此,根據本發明之該含銓二 鳃、鏑及/或鑭之陶瓷被覆、覆蓋及元件可降低金屬及微 粒污染之私度,藉由增加該耗材的壽命來降低成本,減少 製程漂移,並降低該處理室元件及基板之腐蝕程度。 根據本發明,該含铪、鳃、鏑之陶瓷被覆及元件可提供 一相當硬且抗損耗之表面。這些被覆或元件最好是不具有 會與製程處理室氣體反應的材料,且為化學性惰性,使得 其具有較低或無粒子污染,少許或無腐蝕、少許或無金屬 污染,及/或少許或無揮發蝕刻產品。 已經透過特定具體實施例對本發明作詳細的說明,對於 熱心忒技藝的人士而言可以發現,在不脫離本發明範疇的 情況下,可以進行各種的變化及修改。 【圖式簡單說明】 本卷明可藉由以下的詳細說明配合所附圖面來進行瞭 解。 圖1所示為一習用的電漿塗佈製程。 圖2所不為根據本發明一範例性具體實施例之電漿蝕刻 裝置使用的氣體環之橫截面圖。 圖斤不為包含根據本發明之元件的範例性具體實施例 之蝕刻處理室。 回斤示為包含根據本發明之元件的範例性具體實施例 121898.doc -28· 1300587 之另一個蝕刻處理室。 圖5所示為根據本發明一保護性陶瓷被覆之範例性具體 實施例。 圖6所示為根據本發明一保護性陶瓷被覆之另一範例性 具體實施例。 圖7所示為根據本發明一單石元件的範例性具體實施 例。 【主要元件符號說明】
CVD 化學氣相沉積 RF 射頻 TCP 變壓器耦合電漿 ICP 感應耦合電漿 ECR 電子迴旋加速器共振 10 反應器處理室 12 基板夾持器 13 基板 14 聚焦環 18 天線 22 氣體分佈板 23 氣體供應 25 入口管路 26 出口管路 30 處理室襯墊 40 氣體環 121898.doc -29- 1300587
50 氣體孔 60 氣體導引溝渠 80 中間被覆 110 反應器元件 112 粉末 114 兩溫電聚火談 116 基板表面 118 被覆 120 電漿塗佈槍 122 陽極 124 陰極 126 電漿氣體 132 外部粉末埠 136 塗佈距離 150 反應器處理室 152 基板支樓 154 靜電夾盤 156 氣體供給 158 感應線圈 160 介電窗 162 環狀構件 164 處理室襯墊 168 氣體喷射器 170 聚焦環 121898.doc -30-

Claims (1)

1300587 十、申請專利範圍·· h二種半導體製程裝置的元件,其包含有至少_部份包含 :陶瓷材料’該部份包含該元件的最外側表面,且該陶 瓷材料包含選自由氧化鋰、氮化鋰、硼化鋰、碳化鋇、 氟化鋇、氧化鑭、氮化鑭、硼化鑭、碳化鑭、氟化鑭、 乳化鋼、氮化鋼、蝴化鋼、碳化鋼及a化鏑所構成群組 之材料作為該陶瓷材料的單一最大組成。 如明求項1之兀件,其中該陶瓷材料.包含氧化鋇、氧化 鑭及氧化鏑中的一種作為該單一最大組成。 月长項1之元件,其中該元件包含一基板,而陶瓷材 料為在該基板之上的一被覆。 4·如睛求項3之元件,其中該被覆之厚度由約〇 〇〇1英吋到 約0.050英对。 5.如請求項3之元件,其中該被覆基本上由該陶瓷材料構 成。 6 ·如請求項3之元件,進一步包含: 在該基板上的至少一中間層; 其中该被覆係在該至少一中間層之上。 7·如請求項1之元件,其中該陶瓷材料進一步包含至少一 種材料’其係由⑴週期表之IIA、IIIA、IVA、VA、 VIA、VIIA、VIIIA、IB、IIB、IIIB、IVB及 VB族之元素 的氧化物、氮化物、硼化物、氟化物及碳化物,及(ϋ)週 期表的婀系元素的氧化物、氮化物、硼化物、氟化物及 碳化物所構成的群組中選出。 121898.doc 1300587 8·如請求項丨之元件, 卞 具係由一處理室壁、一處理室襯 一氣體分佈板、一资 败 乳體裱、一承座、一介電窗、一 靜電夾盤及一雷將取在 水1,、、、ί辰所構成的群組中選出。 y•一種包含如請求項 1n 員1之至少一元件的電漿蝕刻反應器。 ·:種製造如請求们之半導體製程裝置的元件之方法, ϋ施加該㈣材料作為-基板之上的-被覆,該被 m亥凡件的—最外側表面。 如-月求項ίο之方法’其中該陶瓷材料包含氧化鏍、氧化 鋼及氧化鑭中的—種作為該單—最大組成。 12.如請求項10之方法,進-步包含粗糙化該基板的一表 面,並施加該陶莞材料在該粗糙化的表面來增進在該基 板上該陶瓷材料之黏結性。 " 1 3 ·如請求項1 〇之方法,苴中哕 八T 4破覆基本上由该陶瓷材料構 成。 14·如請求項1〇之方法,進一步包含: 施加至少一中間層在該基板上;及 施加該被覆在該至少一中間層上。 15·如請求項14之方法,進一步包含至少一種以下步驟: 在施加該至少一中間層之前處理該基板,以增進在該 基板上該至少一中間層之黏結性;及 在施加該陶瓷材料之前處理該至少一中門 ' ° 间增,以增進 在該至少一中間層上的該陶瓷材料之黏結性。 16·如請求項1〇之方法,其中該陶瓷材料係藉由熱噴塗而施 加在該基板上。 121898.doc -2- 1300587 月长員1G之方法’其中該陶兗材料進—步包含至少一 材料其係由⑴週期表之ΠΑ、ΙΠΑ、IVA、VA、 =VIIA、VIIIA、IB、ΐιΒ、ΙΠΒ、IVB 及 ν_ 之元素 、氡化物氮化物、棚化物、敗化物及碳化物,及⑼週 二表的㈣TG素的氧化物、氮化物、侧化物、氟化物及 石厌化物所構成的群組中選出。 18,種製造如請求们之半導體基板製程裝置之元件之方 法’其包含形成該元件作為一單石零件,其基本上由陶 瓷材料構成。 如明求項1之7〇件,其中該陶瓷材料包含一選自由氮化 鳃、硼化鋰及碳化鳃之材料作為該陶瓷材料的單一最大 組成。 20·如明求項丨之凡件,其中該元件包含一不同陶瓷材料, 忒不同陶瓷材料與包含該最外侧表面之該陶瓷材料不相 同,且该陶瓷材料為在該不同陶瓷材料之上的一被覆。 21·如請求項1之元件,其中該部份包含該元件之單石體。 22·如請求項丨之元件,其中該陶瓷材料係直接沉積在該元 件之外表面的一被覆。 121898.doc
TW096122584A 2002-03-21 2003-03-05 Low contamination components for semiconductor processing apparatus and methods for making components TWI300587B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/101,701 US6780787B2 (en) 2002-03-21 2002-03-21 Low contamination components for semiconductor processing apparatus and methods for making components

Publications (2)

Publication Number Publication Date
TW200802545A TW200802545A (en) 2008-01-01
TWI300587B true TWI300587B (en) 2008-09-01

Family

ID=28040059

Family Applications (2)

Application Number Title Priority Date Filing Date
TW092104675A TWI299182B (en) 2002-03-21 2003-03-05 Low contamination components for semiconductor processing apparatus and method for making components
TW096122584A TWI300587B (en) 2002-03-21 2003-03-05 Low contamination components for semiconductor processing apparatus and methods for making components

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW092104675A TWI299182B (en) 2002-03-21 2003-03-05 Low contamination components for semiconductor processing apparatus and method for making components

Country Status (9)

Country Link
US (6) US6780787B2 (zh)
EP (1) EP1495155A1 (zh)
JP (2) JP2005521250A (zh)
KR (1) KR101024514B1 (zh)
CN (1) CN100357489C (zh)
AU (1) AU2003210966A1 (zh)
IL (1) IL163917A (zh)
TW (2) TWI299182B (zh)
WO (1) WO2003080892A1 (zh)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
DE10229379A1 (de) * 2002-06-26 2004-01-29 Schering Ag EG-VEGF Rezeptor Antagonisten
JP2006516822A (ja) * 2003-01-27 2006-07-06 東京エレクトロン株式会社 改良された固定ハードウェアのための方法及び装置
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20060027923A1 (en) * 2004-08-09 2006-02-09 Tania Bhatia Coating process to enable electrophoretic deposition
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
US20060180569A1 (en) * 2005-02-15 2006-08-17 Chang Hsi-Ming Method of manufacturing step contact window of flat display panel
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7972703B2 (en) 2005-03-03 2011-07-05 Ferrotec (Usa) Corporation Baffle wafers and randomly oriented polycrystalline silicon used therefor
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
EP1914330A4 (en) * 2005-06-17 2010-03-03 Univ Tohoku PROTECTION FILM STRUCTURE OF METAL ELEMENT, METAL COMPONENT WITH PROTECTIVE FILM STRUCTURE AND DEVICE FOR PRODUCING A SEMICONDUCTOR OR A FLAT DISPLAY WITH PROTECTIVE FILM STRUCTURE
JP5040119B2 (ja) * 2006-02-22 2012-10-03 東京エレクトロン株式会社 耐環境部材、半導体製造装置及び耐環境部材の製造方法
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
JP2007036197A (ja) * 2005-06-23 2007-02-08 Tokyo Electron Ltd 半導体製造装置の構成部材及び半導体製造装置
JP4818659B2 (ja) * 2005-08-08 2011-11-16 いすゞ自動車株式会社 内燃機関の燃焼室用摺動部材及びその製造方法
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US7589025B2 (en) * 2005-12-02 2009-09-15 Rohm And Haas Electronic Materials Llc Semiconductor processing
KR100792365B1 (ko) * 2006-06-30 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 리세스 게이트 제조 방법
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
KR20090093819A (ko) * 2008-02-28 2009-09-02 코바렌트 마테리얼 가부시키가이샤 플라즈마 처리 장치에 이용되는 소결체 및 부재
KR101060606B1 (ko) 2008-08-21 2011-08-31 서울대학교산학협력단 박막증착방법
JP5235596B2 (ja) * 2008-10-15 2013-07-10 東京エレクトロン株式会社 Siエッチング方法
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2013512573A (ja) * 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
WO2011100527A1 (en) * 2010-02-12 2011-08-18 Morgan Advanced Ceramics, Inc. Method for texturing ceramic components
US20120177908A1 (en) * 2010-07-14 2012-07-12 Christopher Petorak Thermal spray coatings for semiconductor applications
US20120196139A1 (en) * 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102260856A (zh) * 2011-07-26 2011-11-30 中微半导体设备(上海)有限公司 抗刻蚀层、半导体处理装置及制作方法
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
JP6034156B2 (ja) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN111485226A (zh) * 2012-07-27 2020-08-04 应用材料公司 粗糙化的基板支撑件
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
JP6071514B2 (ja) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 静電チャックの改質方法及びプラズマ処理装置
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US20140357092A1 (en) * 2013-06-04 2014-12-04 Lam Research Corporation Chamber wall of a plasma processing apparatus including a flowing protective liquid layer
US9637415B2 (en) 2013-10-24 2017-05-02 Surmet Corporation Method of making high purity polycrystalline aluminum oxynitride bodies useful in semiconductor process chambers
US11015244B2 (en) * 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9580360B2 (en) * 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
GB201511282D0 (en) * 2015-06-26 2015-08-12 Spts Technologies Ltd Plasma etching apparatus
US20170040146A1 (en) * 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10388492B2 (en) * 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11034622B2 (en) * 2016-12-20 2021-06-15 Mitsui Mining & Smelting Co., Ltd. Rare earth oxyfluoride sintered body and method for producing same
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20180093814A (ko) * 2017-02-14 2018-08-22 에스케이씨솔믹스 주식회사 보론카바이드를 포함하는 플라즈마 처리장치 및 그 제조방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN109423606A (zh) * 2017-08-24 2019-03-05 中微半导体设备(上海)有限公司 聚焦环及其耐腐蚀防护方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
EP3691894A4 (en) * 2017-09-28 2021-07-07 Maxterial, Inc. ARTICLES INCLUDING SURFACE COATINGS AND THEIR PRODUCTION PROCESSES
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
DE112018005933B4 (de) 2017-11-21 2021-11-18 Watlow Electric Manufacturing Company Keramiksockelanordnung und Verfahren zur Bildung einer Keramiksockelanordnung
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
EP3738136A4 (en) * 2018-01-08 2021-10-06 LAM Research Corporation COMPONENTS AND PROCESSES FOR MANAGING MATERIALS BY-PRODUCTS FROM PLASMA TREATMENT
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN110540424B (zh) * 2018-05-29 2021-12-21 山东工业陶瓷研究设计院有限公司 一种氧化锆陶瓷注射成型用喂料及其制备方法
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111326470A (zh) * 2018-12-17 2020-06-23 夏泰鑫半导体(青岛)有限公司 静电夹盘及半导体设备
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114308900A (zh) * 2021-12-22 2022-04-12 深圳泰德半导体装备有限公司 等离子清洗机
WO2023192402A1 (en) * 2022-03-31 2023-10-05 Lam Research Corporation Radiative heat windows and wafer support pads in vapor etch reactors

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2964947A (en) * 1958-09-08 1960-12-20 Springfield Greene Ind Inc Thermometer
US3630770A (en) * 1969-04-30 1971-12-28 Gen Electric Method for fabricating lanthanum boride cathodes
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS63100065A (ja) 1986-10-14 1988-05-02 セイコーエプソン株式会社 窒化物焼結体の製造方法
JPS63206397A (ja) * 1987-02-20 1988-08-25 Nec Corp GaAs結晶成長用坩堝
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5089746A (en) * 1989-02-14 1992-02-18 Varian Associates, Inc. Production of ion beams by chemically enhanced sputtering of solids
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP3009177B2 (ja) * 1990-04-06 2000-02-14 東芝タンガロイ株式会社 密着性にすぐれた被覆セラミックス焼結体
JPH05509132A (ja) 1990-05-18 1993-12-16 ヒトコ カーボン コンポジッツ インコーポレイテッド 化学蒸着法のための素材
JP3017528B2 (ja) 1990-11-27 2000-03-13 アプライドマテリアルズジャパン株式会社 プラズマ処理装置
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JP3255469B2 (ja) * 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
JPH06188108A (ja) * 1992-12-21 1994-07-08 Canon Inc 薄膜抵抗器の製造方法、成膜装置用防着板及び成膜装置
US5705080A (en) 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JP3659435B2 (ja) 1996-02-29 2005-06-15 京セラ株式会社 耐食性部材、プラズマ処理装置、半導体製造装置、液晶製造装置及び放電容器。
US6071627A (en) * 1996-03-29 2000-06-06 Kabushiki Kaisha Toshiba Heat-resistant member and a method for evaluating quality of a heat-resistant member
US5934900A (en) * 1996-03-29 1999-08-10 Integrated Thermal Sciences, Inc. Refractory nitride, carbide, ternary oxide, nitride/oxide, oxide/carbide, oxycarbide, and oxynitride materials and articles
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3619330B2 (ja) * 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
JP3623054B2 (ja) 1996-08-28 2005-02-23 京セラ株式会社 プラズマプロセス装置用部材
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US6231969B1 (en) * 1997-08-11 2001-05-15 Drexel University Corrosion, oxidation and/or wear-resistant coatings
JPH11219937A (ja) 1998-01-30 1999-08-10 Toshiba Corp プロセス装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
JP3618048B2 (ja) * 1998-09-14 2005-02-09 京セラ株式会社 半導体製造装置用部材
JP2000114189A (ja) 1998-10-06 2000-04-21 Toshiba Corp 真空処理装置
JP4194143B2 (ja) * 1998-10-09 2008-12-10 株式会社神戸製鋼所 ガス耐食性とプラズマ耐食性に優れたアルミニウム合金材
EP1013623B1 (en) * 1998-12-21 2004-09-15 Shin-Etsu Chemical Co., Ltd. Corrosion-resistant composite oxide material
JP2000302553A (ja) 1999-04-14 2000-10-31 Taiheiyo Cement Corp 耐蝕性フッ化物基複合セラミックス焼結体
JP3732966B2 (ja) 1999-04-28 2006-01-11 京セラ株式会社 耐食性部材
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP2001237140A (ja) * 1999-12-13 2001-08-31 Murata Mfg Co Ltd 積層型セラミック電子部品およびその製造方法ならびにセラミックペーストおよびその製造方法
JP2001207275A (ja) 2000-01-25 2001-07-31 Kyocera Corp 耐食性部材およびチャンバ構成部材
JP2001240482A (ja) * 2000-02-29 2001-09-04 Kyocera Corp 耐プラズマ部材、高周波透過部材およびプラズマ装置
JP2001284328A (ja) * 2000-03-31 2001-10-12 Taiheiyo Cement Corp セラミック部品
JP2001295075A (ja) * 2000-04-12 2001-10-26 Toshiba Corp 金属基材への耐食セラミックコ−ティング部材、その製造方法、およびその部材から構成される部品
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
EP1167565B1 (en) * 2000-06-29 2007-03-07 Shin-Etsu Chemical Co., Ltd. Method for thermal spray coating and rare earth oxide powder used therefor
JP2002037683A (ja) * 2000-07-24 2002-02-06 Toshiba Ceramics Co Ltd 耐プラズマ性部材およびその製造方法
US6479108B2 (en) * 2000-11-15 2002-11-12 G.T. Equipment Technologies, Inc. Protective layer for quartz crucibles used for silicon crystallization
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components

Also Published As

Publication number Publication date
US6780787B2 (en) 2004-08-24
CN100357489C (zh) 2007-12-26
KR101024514B1 (ko) 2011-03-31
JP2005521250A (ja) 2005-07-14
EP1495155A1 (en) 2005-01-12
KR20040101330A (ko) 2004-12-02
US20090068845A1 (en) 2009-03-12
IL163917A (en) 2009-11-18
TW200305198A (en) 2003-10-16
AU2003210966A1 (en) 2003-10-08
US8318327B2 (en) 2012-11-27
US20050003240A1 (en) 2005-01-06
US20090123735A1 (en) 2009-05-14
TW200802545A (en) 2008-01-01
US8935990B2 (en) 2015-01-20
US20030181065A1 (en) 2003-09-25
WO2003080892A1 (en) 2003-10-02
US20130059071A1 (en) 2013-03-07
US20090120790A1 (en) 2009-05-14
CN1643178A (zh) 2005-07-20
JP2010153881A (ja) 2010-07-08
TWI299182B (en) 2008-07-21

Similar Documents

Publication Publication Date Title
TWI300587B (en) Low contamination components for semiconductor processing apparatus and methods for making components
TW548737B (en) Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
KR100882758B1 (ko) 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅
TWI381415B (zh) 半導體材料處理裝置之氧化釔鍍膜陶瓷元件以及製造該等元件之方法
TWI328411B (en) Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP4358509B2 (ja) 反応室壁上のダイヤモンド被膜及びその製造方法
JP4996868B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR100916952B1 (ko) 반도체 처리 장비의 풀러린 코팅 컴포넌트

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent