KR20040101330A - 반도체 공정 장치용의 저오염 구성부품 및 상기 구성부품제조 방법 - Google Patents

반도체 공정 장치용의 저오염 구성부품 및 상기 구성부품제조 방법 Download PDF

Info

Publication number
KR20040101330A
KR20040101330A KR10-2004-7014822A KR20047014822A KR20040101330A KR 20040101330 A KR20040101330 A KR 20040101330A KR 20047014822 A KR20047014822 A KR 20047014822A KR 20040101330 A KR20040101330 A KR 20040101330A
Authority
KR
South Korea
Prior art keywords
ceramic material
component
substrate
coating
hafnium
Prior art date
Application number
KR10-2004-7014822A
Other languages
English (en)
Other versions
KR101024514B1 (ko
Inventor
오도넬로버트제이.
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20040101330A publication Critical patent/KR20040101330A/ko
Application granted granted Critical
Publication of KR101024514B1 publication Critical patent/KR101024514B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/341Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one carbide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/347Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with layers adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)

Abstract

반도체 공정 장치의 부품이 적어도 부분적으로 내침식성, 내부식성 및/또는 내부식-침식성 세라믹 재료로 형성된다. 예시적인 세라믹 재료는 적어도 하나의 하프늄, 스트론튬, 란탄 산화물 및/또는 디스프로슘의 산화물, 질화물, 붕소화물, 탄화물 및/또는 플루오르화물을 포함한다. 세라믹 재료는 복합 부품을 형성하기 위하여 기판상의 코팅으로 적용될 수 있거나, 단일체로 형성될 수 있다. 코팅은 물리적 및/또는 화학적 공격으로부터 기판을 보호할 수 있다. 연장된 서비스 생명을 제공하기 위하여 세라믹 재료가 반도체 공정 장치의 플라즈마 노출된 부품을 형성하기 위하여 사용될 수 있다.

Description

반도체 공정 장치용의 저오염 구성부품 및 상기 구성부품 제조 방법{Low contamination components for semiconductor processing apparatus and methods for making components}
반도체 재료 공정의 분야에서, 진공 공정 챔버가 기판상의 물질의 식각(etching) 및 화학 기상 증착(CVD:chemical vapor deposition)을 위하여 사용된다. 공정 가스(process gas)의 플라즈마를 발생시키기 위하여 라디오 주파수(Radio Frequency)장이 공정 가스에 적용되는 동안, 공정 가스는 공정 챔버 내로 유입된다. 플라즈마는 웨이퍼 상의 선택된 재료의 소망하는 식각 또는 증착을 수행한다. 평행판(parallel plate), 유도 결합 플라즈마(inductively coupled plasma:ICP)이라고도 불리는 트랜스포머 결합 플라즈마(transformer coupled plasma:TCPTM), 전자 싸이클로트론 공명(electron-cyclotron resonance:ECR) 반응기 및 부품이 공동으로 소유된 미국 특허 번호 4,340,462; 4,948,458; 5,200,232 및 5,820,723에 개시되었다.
반도체 기판의 공정 중에, 예컨대 미국 특허 번호 5,262,029 및 5,838,529에서 개시된 바와 같이 기판은 통상적으로 기판 홀더에 의하여 진공 챔버 내에 정위치된다. 공정 가스는 여러 가지 가스 공급 시스템에 의하여 챔버로 공급된다.
플라즈마 챔버 장비 외에, 반도체 기판의 공정에 사용되는 다른 장비는 수송 기구, 라이너, 리프트 기구, 로드 락(load lock), 도어 기구, 로봇 아암, 파스너(fastener) 등을 포함한다.
플라즈마는 식각에 의하여 재료를 제거하기 위하여 또는 기판상의 재료의 증착을 위하여 사용된다. 플라즈마 식각 조건은 플라즈마에 노출된 공정 챔버의 표면의 상당한 이온 포격(ion bombardment)을 생성할 수 있다. 이 이온 포격은, 플라즈마 화학물 및/또는 식각 부산물과 결합하여, 처리 챔버의 플라즈마 노출된 표면의 상당한 침식, 부식 및 부식-침식을 생성한다. 결과적으로, 표면 재료는, 침식, 부식 및/또는 부식 침식을 포함하는 물리적 및/또는 화학적 공격에 의하여 제거된다. 이 공격은 짧은 부품 수명, 증가된 소모품 비용, 미립자 오염, 웨이퍼상 전이 금속 오염 및 공정 드리프트(process drift)등을 포함한 문제를 야기한다.
이러한 문제점의 관점에서, 플라즈마 공정 챔버는 플라즈마를 처리되고 있는 웨이퍼상에 제한하는 디스크, 링 및 실린더 등과 같은 부품을 포함하도록 설계되어 왔다. 그러나, 이러한 부품들은 플라즈마에 의하여 계속적으로 공격받으며, 결과적으로 결국에는 침식되거나 중합체 누적을 축적한다. 결국에는, 이러한 부품은 더 이상 사용될 수 없을 정도로 마모를 겪는다. 상대적으로 짧은 수명을 가지는 그러한 부품들은 일반적으로 "소모품"라고 지칭된다. 소모품 부품의 수명이 짧다면, 소유의 비용은 높다. 소모품 및 다른 부품들의 침식은 플라즈마 공정 챔버에서 오염을 발생시킨다.
그러한 반응기 안에서의 플라즈마 환경의 부식적이고 침식적인 성질 때문에, 그리고 입자 및/또는 금속 오염을 최소화할 필요성 때문에, 소모품 및 다른 부품을 포함하는 그러한 장비의 구성부품이 적절하게 높은 내침식성 및 내부식성을 갖는 것이 바람직하다. 공지의 부품은 알류미늄계 재료(aluminum-based materials)로 형성된다. 그러나, 플라즈마에 의한 높은 이온 폭격은 이러한 재료를 침식 또는 부식시켜 불만족스러운 오염 수준(예컨대, 미립자 오염 및 금속 불순 오염)을 생성할 수 있다.
반도체 재료 공정을 위한 높은 순도의 필요조건의 관점에서, 반도체 공정 장치의 구성부품은, 그 공정중의 반도체 재료의 관련된 오염을 최소화하기 위하여 침식, 부식 및/또는 침식-부식을 포함하는 화학적 및 물리적 공격에 대한 개선된 저항성을 제공하는 재료로 구성될 필요가 있다. 장비의 구성부품의 기능 수명을 증가시킬 수 있으며 따라서 장치의 중단 시간을 감소시킬 수 있는 재료는 반도체 재료 공정의 비용을 절감시키는데 기여할 것이다.
본 발명은 반도체 재료 공정 장비를 위한 부품에 관한 것이다. 부품은 반도체 재료 공정중에 오염을 감소시킬 수 있는 재료로 형성된다. 본 발명은 상기 부품의 제조 방법에도 역시 관계된다.
본 발명은 첨부된 도면과 결합하여 다음의 상세한 설명에 의하여 충분히 이해될 것이다.
도 1은 종래의 플라즈마 분사 공정을 도시한다.
도 2는 본 발명의 예시적인 구현예에 따른 플라즈마 식각 장치을 위한 가스 링(gas ring)의 단면도이다.
도 3은 본 발명에 따른 구성부품의 예시적인 구현예를 포함하는 식각 챔버를 도시한다.
도 4는 본 발명에 따른 구성부품의 예시적인 구현예를 포함하는 또 다른 식각 챔버를 도시한다.
도 5는 본 발명에 따른 보호 세라믹 코팅의 예시적인 구현예를 도시한다.
도 6은 본 발명에 따른 보호 세라믹 코팅의 또 다른 예시적인 구현예를 도시한다.
도 7은 본 발명에 따른 단일체의 구성부품의 예시적인 구현예를 도시한다.
본 발명은, 플라즈마 공정 환경 안에서의 침식, 부식 및/또는 부식-침식에 대한 개선된 내마모성을 제공하는 세라믹 재료로 구성된 반도체 공정 장치의 구성부품을 제공하는 것에 의하여, 다른 요구와 더불어 전술된 요구를 만족시킬 수 있다. 상기 구성부품은 금속 및 미립자에 대하여 낮은 오염을 제공할 수 있다.
본 발명의 예시적인 구현예에 따르면, 세라믹 재료는 반도체 재료 공정 장비에서 사용되는 구성부품안에서 기판의 표면상의 코팅으로서 적용될 수 있다. 예를 들어, 구성부품은 플라즈마 공정 챔버에서 사용될 수 있다. 코팅된 구성부품은, 공정 중에 플라즈마에 노출되는 때에, 침식, 부식 및/또는 침식-부식에 대하여 향상된 저항성을 제공할 수 있다.
본 발명의 다른 예시적인 구현예에 따르면, 그러한 구성부품은 오르지 보호 재료로 형성된 벌크 파트(bulk part)일 수 있다. 즉, 구성부품은 단일체일 수 있다.
본 발명에 따른 반도체 공정 장치의 구성부품을 제조하는 공정의 예시적인 구현예는, 그러한 장비의 구성부품의 적어도 일 부분을 세라믹 재료로 형성하는 것을 구비한다. 상기 부분은 구성부품의 최외측 표면을 구비한다. 세라믹 재료는 (ii) 스트론튬, 란탄 및 디스프로슘의 (i)적어도 하나의 산화물, 질화물, 붕소화물, 탄화물 및/또는 플로오르화물, 및/또는 하프늄의 적어도 하나의 질화물, 붕소화물, 탄화물 및/또는 플루오르화물을 구비한다. 바람직하게는, 세라믹 재료는 스트론튬 산화물, 디스프로슘 산화물 및 란탄 산화물의 하나를 세라믹 재료 코팅의 유일한 최대 성분으로서 구비한다. 세라믹 재료는 코팅으로 적용될 수 있으며, 또는 단일체로 형성될 수 있다.
본 발명의 또 다른 예시적인 구현예는, 반도체 공정 장치의 구성부품의 금속 함유 또는 폴리머 표면상에 세라믹 재료의 코팅을 적용하는 것을 포함한다. 세라믹재료는 세라믹 재료 코팅의 유일한 최대 성분으로서 하프늄 산화물, 하프늄 질화물, 하프늄 붕소화물, 하프늄 탄화물 또는 하프늄 플루오르화물을 포함한다.
본 발명에 따른 방법의 다른 예시적인 구현예는 단일체의 형태로 반도체 공정 장치의 구성부분을 형성하는 것을 포함한다. 구성부분은 유일한 최대 성분으로서 하프늄 산화물, 하프늄 질화물, 하프늄 붕소화물, 하프늄 탄화물 또는 하프늄 플루오르화물을 구비한다.
본 발명에 따른 반도체 공정 장치의 구성부분을 제조하는 공정의 예시적인 구현예는, (ii) 스트론튬, 란탄 및 디스프로슘의 (i)적어도 하나의 산화물, 질화물, 붕소화물, 탄화물 및/또는 플로오르화물, 및/또는 하프늄의 적어도 하나의 질화물, 붕소화물, 탄화물 및/또는 플루오르화물을 단일한 최대 구성성분으로 구비하는 슬러리를 준비하는 것; 슬러리로부터 소망하는 형태로 그린 컴팩트(green compact)를 형성하는 것; 및, 구성부품을 형성하기 위하여 그린 컴팩트를 소결하는 것;을 포함한다. 세라믹 재료는 하프늄 산화물, 스트론튬 산화물, 란탄 산화물 및 디스프로슘 산화물의 하나를 그 단일한 최대의 구성성분으로 구비하는 것이 바람직하다. 이러한 공정은 단일체의 구성부품을 형성하는데 사용될 수 있다.
본 발명에 따른 반도체 공정 장치의 구성부품의 예시적이 구현예는 세라믹 재료를 구비하는 적어도 일 부분을 구비한다. 상기 부분은 구성부품의 최외측 표면을 구비한다. 세라믹 재료는 (ii) 스트론튬, 란탄 및 디스프로슘의 (i)적어도 하나의 산화물, 질화물, 붕소화물, 탄화물 및/또는 플로오르화물, 및/또는 하프늄의 적어도 하나의 질화물, 붕소화물, 탄화물 및/또는 플루오르화물을 단일한 최대 구성성분으로 구비한다.
본 발명에 따른 반도체 공정 장치의 구성부품의 또 다른 예시적인 구현예는, 금속 포함 또는 폴리머 표면을 가지는 기판; 및 기판상의 세라믹 재료를 구비하며, 상기 세라믹 재료는 하프늄 산화물, 하프늄 질화물, 하프늄 붕소화물, 하프늄 탄화물 또는 하프늄 플루오르화물을 세라믹 재료 코팅의 단일한 최대 구성성분으로 구비한다.
본 발명에 따른 반도체 공정 장치의 구성부품의 또 다른 예시적인 구현예는, 하프늄 산화물, 하프늄 질화물, 하프늄 붕소화물, 하프늄 탄화물 또는 하프늄 플루오르화물을 세라믹 재료 코팅의 단일한 최대 구성성분으로 구비하는 단일체를 구비한다.
본 발명은 또한 내마모성을 제공하기 위하여 적어도 하나의 전술된 구성부품을 포함하는 반도체 공정 장치를 제공한다.
본 발명은, 반도체 공정 장치에서 발생된 플라즈마에 의한 물리적 및 화학적 공격에 대하여 내마모성을 가진 구성부품을 제공한다. 여기서 사용될 "내마모성"이란 용어는 침식, 부식 및/또는 부식-침식을 포함하지만 그에 제한되는 것은 아니다. 구성부품은 내마모성 세라믹 재료로 구성된다.
일부 구현예에서, 구성부품은 기판 상에 형성된 내침식성 세라믹 재료로 구성된 코팅을 구비한다. 예를 들어, 구성부품은 기판 및 상기 기판에 형성된 하나 또는 그 이상의 내침식성 코팅을 구비한다. 코팅은 침식에 내성이 있으며, 비금속 재료이기 때문에, 부식 및/또는 부식-침식에 역시 내성이 있다.
본 발명의 다른 예시적인 구현예에서, 구성부품은 본질적으로 내마모성 세라믹 재료로 구성될 수 있다. 예를 들어, 구성부품은 반도체 재료 공정 장치의 벌크 파트일 수 있다.
본 발명에 따르면, 내마모성 세라믹 재료로 구성된 구성부품은 반도체 공정을 위한 장치의 구성부품일 수 있다.
본 발명은 적어도 부분적으로 내마모성 재료로 구성된 하나 또는 그 이상의 구성부품을 구비하는 반도체 공정 장치를 또한 제공한다.
또한, 본 발명은 적어도 부분적인 내마모성 재료의 구성부품을 제조하는 방법을 제공한다.
전술된 바와 같이, 본 발명은 구성부품의 어떠한 적절한 형식에도 적용 가능하다. 본 발명은 반도체 재료 공정 장치의 구성부품의 표면에 효과적인 내마모성을 제공한다. 당업자는, 본 발명에 따른 내마모성 재료가 상이한 반도체 재료를 처리하기 유용한 상이한 공정 장치에 적용될 수 있다는 것을 이해할 것이다. 또한, 내마모성 재료는 공정 장치의 상이한 구성부품에 적용될 수 있다. 그러한 예시적인 구성부품은, 챔버 벽, 기판 지지체, 예컨대 샤워헤드(showerheads), 배플(baffles), 링, 노즐 등을 구비하는 가스 분배 시스템, 파스너(fastener), 가열 요소, 플라즈마 스크린, 라이너, 투명 모듈 구성부품 등과, 로봇 아암, 파스너, 내측 및 외측 챔버 벽과 같은 플라즈마 및/또는 진공 챔버를 구비하며, 그러나 이에 제한되는 것은 아니다.
본 발명에 따르면, 내마모성 재료는, 하프늄, 스트론튬, 디스프로슘 및 란탄 중의 적어도 하나를 구비할 수 있다. 이러한 요소는 상대적으로 큰 분자량을 가지며, 플라즈마 환경에서 감소된 침식률을 제공한다고 생각되는 통상적인 식각 화학물에 관하여 상대적으로 불활성이다. 바람직하게는, 내마모성 재료는 세라믹 재료의 단일한 최대 구성성분으로서 하프늄, 산화물, 스트론튬, 산화물, 디스프로슘 산화물 또는 란탄 산화물 중의 하나를 구비한다. 세라믹 재료의 예시적인 구현예는이러한 산화물의 하나 또는 그 이상을 구비할 수 있다. 세라믹 재료에 포함될 수 있는 다른 구성성분은 상세하게 후술된다.
본 발명에 따른 하프늄 코팅된 세라믹 재료는 단일한 최대 구성성분으로 하프늄 산화물(hafnia)을 포함하는 것이 바람직하다. 일부 구현예에서는, 하프늄을 포함하는 세라믹 재료는 적어도 하나의 하프늄 붕소화물, 하프늄 플루오르화물, 하프늄 질화물 및/또는 하프늄 탄화물 또는 그 혼합물을 포함하지만 그러나 그에 제한되지는 않는 산화물 외에 하프늄을 포함하는 다른 세라믹 재료를 또한 포함할 수 있다.
본 발명에 따르면, 하프늄을 포함하는 세라믹 재료는, 전술된 하프늄 산화물, 붕소화물, 플루오르화물 및 탄화물 재료 외에 또는 그에 부가하여 다른 세라믹 재료를 포함할 수 있다. 이 다른 세라믹 재료는 주기율표(periodic table)의 IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB 및 VB 족에서 선택된 요소중의 적어도 하나의 산화물, 질화물, 붕소화물, 플루오르화물 및/또는 탄화물; 및/또는 악티니드 계열(actinide series)의 요소(예컨대, 원자 번호 58 내지 71을 가진 요소)의 하나의 또는 그 이상의 산화물, 질화물, 붕소화물, 플루오르화물 또는 탄화물을 포함할 수 있으나, 그러나 이에 제한되는 것은 아니다. 예컨대, 하프늄을 포함하는 세라믹 재료(또한 후술될 스트론튬, 디스프로슘, 란탄을 포함하는 재료)는 이트륨 산화물(yttria), 지르코늄 산화물(zirconia), 알루미늄 산화물(alumina) 및/또는 세륨 산화물(ceria)과 혼합될 수 있다.
본 발명에 따른 스트론튬을 포함하는 세라믹 재료는 단일한 최대 구성성분으로 스트론튬 산화물(stronia)을 포함하는 것이 바람직하다. 일부 구현예에서, 스트론튬을 포함하는 세라믹 재료는, 적어도 하나의 스트론튬 붕소화물, 스트론튬 플루오르화물, 스트론튬 질화물, 스트론튬 탄화물 또는 그 혼합물을 포함하지만 그러나 이에 제한되지는 않는 산화물 외에 다른 스트론튬을 포함하는 세라믹 재료를 역시 포함할 수 있다.
본 발명에 따르면, 스트론튬을 포함하는 세라믹 재료는, 전술된 스트론튬 산화물, 붕소화물, 플루오르화물 및 탄화물 재료 외에 또는 그에 부가하여 다른 세라믹 재료를 포함할 수 있다. 전술된 바와 같이, 이 다른 세라믹 재료는 주기율표의 IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB 및 VB 족에서 선택된 요소중의 적어도 하나의 산화물, 질화물, 붕소화물, 플루오르화물 및/또는 탄화물; 및/또는 악티니드의 요소의 하나의 또는 그 이상의 산화물, 질화물, 붕소화물, 플루오르화물 또는 탄화물을 포함할 수 있으나, 그러나 이에 제한되는 것은 아니다.
본 발명에 따른 디스프로슘을 포함하는 세라믹 재료는 단일한 최대 구성성분으로 디스프로슘 산화물(dysprosia)을 포함하는 것이 바람직하다. 일부 구현예에서, 디스프로슘을 포함하는 세라믹 재료는, 적어도 하나의 디스프로슘 붕소화물, 디스프로슘 플루오르화물, 디스프로슘 질화물, 디스프로슘 탄화물 또는 그 혼합물을 포함하지만 그러나 이에 제한되지는 않는 산화물 외에 다른 디스프로슘을 포함하는 세라믹 재료를 역시 포함할 수 있다.
본 발명에 따르면, 디스프로슘을 포함하는 세라믹 재료는, 전술된 디스프로슘 산화물, 붕소화물, 플루오르화물 및 탄화물 재료 외에 또는 그에 부가하여 다른세라믹 재료를 포함할 수 있다. 전술된 바와 같이, 이 다른 세라믹 재료는 주기율표의 IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB 및 VB 족에서 선택된 요소중의 적어도 하나의 산화물, 질화물, 붕소화물, 플루오르화물 및/또는 탄화물; 및/또는 악티니드의 요소의 하나의 또는 그 이상의 산화물, 질화물, 붕소화물, 플루오르화물 또는 탄화물을 포함할 수 있으나, 그러나 이에 제한되는 것은 아니다.
본 발명에 따른 란탄을 포함하는 세라믹 재료는 단일한 최대 구성성분으로 란탄 산화물(lanthana)을 포함하는 것이 바람직하다. 일부 구현예에서, 란탄을 포함하는 세라믹 재료는, 적어도 하나의 란탄 붕소화물, 란탄 플루오르화물, 란탄 질화물, 란탄 탄화물 또는 그 혼합물을 포함하지만 그러나 이에 제한되지는 않는 산화물 외에 다른 란탄을 포함하는 세라믹 재료를 역시 포함할 수 있다.
본 발명에 따르면, 란탄을 포함하는 세라믹 재료는, 전술된 란탄 산화물, 붕소화물, 플루오르화물 및 탄화물 재료 외에 또는 그에 부가하여 다른 세라믹 재료를 포함할 수 있다. 전술된 바와 같이, 이 다른 세라믹 재료는 주기율표의 IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB 및 VB 족에서 선택된 요소중의 적어도 하나의 산화물, 질화물, 붕소화물, 플루오르화물 및/또는 탄화물; 및/또는 악티니드 계열의 어떠한 요소의 적어도 하나의 산화물, 질화물, 붕소화물, 플루오르화물 및/또는 탄화물을 포함할 수 있으나, 그러나 이에 제한되는 것은 아니다.
본 발명에 따르면, 세라믹 재료는 전술된 하프늄, 스트론튬, 디스프로슘 및란탄을 포함하는 재료들의 혼합물을 구비할 수 있다. 또한, 세라믹 재료는 하프늄, 스트론튬, 디스프로슘 및/또는 란탄을 포함하는 재료 그리고 IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB 및 VB 족에서 선택된 요소중의 하나 또는 그 이상의 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물; 및/또는 전술된 악티니드의 요소중 하나의 또는 그 이상의 산화물, 질화물, 붕소화물, 플루오르화물 또는 탄화물을 포함하면서 그러나 이에 제한되는 것은 아닌 부가적인 재료의 혼합물을 구비할 수 있다.
본 발명에 따라 하나 또는 그 이상의 하프늄, 스트론튬, 디스프로슘 및/또는 란탄을 포함하는 구성요소를 포함하는 장비에서 진행되는 전자 재료의 오염을 최소화하기 위하여, 세라믹 재료는. 예컨대 전이 금속, 알카리 금속 등과 같은 잠재적으로 오염시키는 요소의 최소한의 양을 포함하는 최대한 순도가 높은 것이 바람직하다. 예컨대, 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료는 1010atoms/cm2또는 그 이상, 바람직하게는 105atoms/cm2또 그 이상의 웨이퍼상 오염을 피하기 위하여 충분히 순도를 높게 할 수 있다. 바람직하게는, 이러한 세라믹 재료는 적어도 약 99%, 더욱 바람직하게는 약99.99% 내지 약 100%의 순도를 가진다.
또한, 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료는 평탄한 표면 처리를 가진다. 바람직하게는, 이러한 재료는, 코팅으로 적용되거나 단일체의 구성부품으로 형성되는 경우, 약 5 내지 약 400 μinch, 더욱 바람직하게는 약 200μinch이하의 표면 조도(RA)를 가진다.
본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료는 기저 기판에 높은 결합력을 제공할 수 있다. 바람직하게는, 이러한 재료는, 코팅으로 적용되는 경우, 약 200psi 내지 약 7000psi의 인장 결합 강도를 가진다.
또한, 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료는 낮은 기공도(porosity level)를 제공할 수 있으며, 이는 공격적인 분위기(예컨대, HCI를 포함하는 분위기)의 기저 기판과의 접촉, 상기 공격적인 분위기에 의한 후속되는 기판의 부식, 침식 및/또는 부식-침식을 최소화시키는데 유리하다. 바람직하게는, 세라믹 재료는 체적상 15%이하, 보다 바람직하게는 체적상 3%이하의 기공도를 갖는다.
또한, 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료는 침식에 내성이 있는 높은 경도를 제공할 수 있다. 바람직하게는, 세라믹 재료는 약 200 내지 약 800의 경도(HVO3)를 갖는다.
전술된 세라믹 재료는, 예컨대 플라즈마 식각 챔버등과 같은 반도체 공정 장치에서의 사용을 위하여 바람직한 내마모성 특성을 제공할 수 있다. 특히, 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료는 플라즈마 반응 챔버 안에서의 이온에 의해 유도된 침식 및 관련된 미립자 오염의 정도를 감소시킬 수 있는 표면을 제공할 수 있다. 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료는 플라즈마에 의한 물리적 공격 및 화학적 공격의 양자에 대하여 기저 기판을 또한 보호할 수 있다.
본 발명에 따른 내마모성 세라믹 재료는 다른 사용뿐만 아니라 식각 및 증착 응용을 위한 여러 가지 상이한 플라즈마 분위기에서 사용될 수 있다. 예를 들어, 통상적인 식각 화학물은, 예컨대 Cl2, HCL 및 BCL3를 포함하는 그러나 이제 제한되지는 않는 염소을 포함하는 가스; 브롬 및 HBr을 포함하는 그러나 이제 제한되지는 않는 브롬을 포함하는 가스; O2, H2O 및 SO2를 포함하는 그러나 이에 제한되지는 않는 산소를 포함하는 가스; CF4,CH2F2, NF3, CH3F, CHF3및 SF6를 포함하는 그러나 이제 제한되지는 않는 플루오르를 포함하는 가스; 및 He, Ar 및 N2를 포함하는 그러나 이에 제한되지는 않는 불활성 및 다른 가스;를 포함하며 그러나 이에 제한되지는 않는다. 이러한 가스 및 다른 가스들이 소망하는 플라즈마에 따라 어떤 적절한 조합으로 사용될 수 있다. 예시적인 플라즈마 반응기 식각 작업 조건은 다음과 같다: 약 25℃ 내지 약 90℃의 온도, 약 9mTorr 내지 약 100 mTorr의 압력, 약 10sccm 내지 약 1000sccm의 가스 유동률; 및 약 0 Watts 내지 약 1500 Watts의 플라즈마 power.
본 발명의 예시적이며 바람직한 구현예에서, 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료는 가판상의 코팅으로서 제공된다. 이러한 코팅은 당업계에서 공지된 방법에 의하여 적용된다. 바람직한 코팅 방법은 용사(thermal spray)(예컨대, 플라즈마 용사)다. 이 방법에서, 세라믹 분말은 용융되고 가스 유동 안에 내포되며, 이는 용사 코팅되는 구성부품으로 향해진다. 용사 기술의 장점은, 구성부품이 용사 건(spray gun)을 대향하는 측면상에만 코팅되며, 다른 지역을 보호하기 위하여 마스킹(masking)이 이용될 수 있다는 것이다. 플라즈마 용사를 포함하여 종래의 용사 기술이 Pawlowski저(John Wiley사,1995)의 "The Science and Engineering of Thermal Spray Coating"에 기술되어 있다. 이 기술은 그 전체가 여기에서 참조로서 포함된다.
특히 바람직한 용사 방법은 플라즈마 용사이다. 플라즈마 용사는 챔버 및 다른 챔버 구성부품의 복잡한 내부 표면이라도 코팅하는데 사용될 수 있다. 도 1은 통상적인 플라즈마 용사 공정을 도시한다. 코팅 재료는, 통상적으로 분말의 형태로, 통상적으로 외측 분말 포트(132)를 통하여 고온 플라즈마 화염(114)안으로 주입된다. 분말은 급속히 가열되며 높은 속도로 가속된다. 고온 재료는 기판 표면(116)상에 충격을 가하며 코팅(118)을 형성하기 위하여 급속히 냉각된다.
플라즈마 용사 건(plasma spray gun:120)은 양극(122) 및 음극(124)을 구비하며, 양자 모두는 수냉된다. 플라즈마 가스(126)(예컨대, 아르곤, 질소, 수소, 헬륨)는 전체적으로 화살표(128)에 의해 지시된 방향으로 음극 주위 및 양극의 제한된 노즐을 통하여 흐른다. 플라즈마는 고압 방전에 의해 개시되고, 이는 국부화된 이온화 및 음극(124)와 양극(122)사이에 형성되는 DC 아크를 위한 전도성 경로(conductive path)를 야기한다. 아크로부터의 저항 가열(resistance heating)은 가스가 플라즈마를 형성하게 한다. 플라즈마는 자유로운 또는 중성의 플라즈마 화염(전류를 지니지 않는 플라즈마)으로서 양극 노즐 부분으로부터 나온다. 플라즈마가 안정화되어 분사 준비되면, 전기 아크는 노즐 밑으로 연장된다. 분말(112)은 매우 급속히 가열되고 가속되기 때문에 노즐 선단과 기판 표면 사이의 용사 거리(136)는125 내지 150mm에 근사할 수 있다. 플라즈마 용사된 코팅은 기판 표면(116)상에 충돌되도록 된 용융된 또는 열 가소화된 입자에 의해 생성된다.
본 발명에 따르면, 세정 및 입자 블래스팅(blasting)과 같은 표면 처리가 결합을 위하여 더욱 화학적 및 물리적으로 활성화된 표면을 제공하는데 사용될 수 있다. 코팅 전에, 기판의 표면은 산화물 또는 그리스 등의 바람직하지 못한 표면 물질을 제거하기 위하여 완전하게 세정되는 것이 바람직하다. 기판은 또한 코팅 전에 그리트 블라스팅(grit blasting)과 같은 적절한 방법에 의하여 거칠게 될 수 있다. 이 러프닝(roughening)은 결합에 이용 가능한 표면적을 증가시키며, 이는 코팅 결합력을 증가시킨다. 거친 표면 형상은 또한 코팅의 기판과의 기계적 키 삽입(keying) 또는 맞물림을 촉진시킬 수 있다.
알루미늄 반응기 구성요소를 위하여, 코팅 전에 코팅될 구성부품의 표면을 양극화시키고, 그러나 양극화시킨 표면을 거칠게 하지 않는 것이 바람직하다. 양극화시킨 층은, 코팅에 의해 제공된 보호에 부가하여 기저 알루미늄의 부식적 공격에 대한 부가적인 장벽을 제공한다. 6061-T6 알루미늄과 같이 알루미늄 기판상에 형성된 양극화시킨 알루미늄 층은 어떠한 적절한 두께도 가질 수 있다. 예를 들어, 두께는 통상 약 2mil 내지 약 10mil일 수 있다. 양극화시킨 표면은 어떠한 적절한 마무리 처리도 가질 수 있다. 예를 들어, 표면 처리는 약 20μinch 내지 약 100 μinch의 RA 값을 가질 수 있다. 양극화시킨 층은 탈이온수(deionized water)를 사용하는 것 등에 의하여 어떠한 적절한 기술로서도 밀봉될 수 있다.
본 발명에 따른 하프늄 산화물, 스트론튬 산화물, 디스프로슘 산화물 및/또는 란탄 산화물을 포함하는 세라믹 재료는 플라즈마 용사 공정을 사용하여 적용되는 것이 바람직하다. 그러나, 세라믹 재료와 사용되기에 적절한 어떤 다른 코팅 방법도 역시 채용될 수 있다. 예컨대, 하프늄 산화물, 스트론튬 산화물, 디스프로슘 산화물 및 란탄 산화물을 포함하는 세라믹 재료는 스퍼터링(sputtering), 스퍼터 증착, 침적 도장(immersion coating), 화학 증기 기상(CVD), (전자 빔 증발 법및 응축법을 포함한) 증발법(evaporation) 및 응축법(condensation), 물리 기상 증착(physical vapor deposition), 컴팩팅(compacting) 및 소결(sintering) 및 용사(thermal spray) 등에 의하여 또한 적용될 수 있다.
본 발명의 일부 바람직한 구현예에서, 하프늄, 스트론튬, 디스프로슘 및/또는 란탄을 포함하는 세라믹 구성부품이 고밀도 플라즈마 반응기에서 사용된다. 이런 형식의 예시적인 반응기는, California, Fremont의 Lam Research Corporation에서 취득 가능한 TCP 9400TM플라즈마 식각 반응기이다. TCP 9400TM반응기에서, (cl2, HBr, CF4, CH2F2, O2,N2,Ar,SF6및 NF3와 같은 )공정 가스가 식각 챔버의 하부에 위치된 가스 링안으로 유도되며 그 후 가스 홀을 통하여 반응기 챔버안으로 안내된다. 도 2는 TCP 9400TM식각 반응기의 가스 링을 도시한다. 도 2에서 도시된 바와 같이, 가스 링(40)의 주 몸체는 기판 지지체(44)를 둘러싼다. 가스 링(40)의 하부면은 링 형상의 가스를 안내하는 트렌치(trench:60)를 포함한다. 전술된 가스 홀(50)은 가스 안내 트렌치(60)안으로 연장된다.
가스 링(40)은 통상적으로 알루미늄으로 구성된다. 가스 링의 상부면은 플라즈마에 직접적으로 노출되며 따라서 침식, 부식 및 부식-침식에 취약하다. 이러한 표면을 보호하기 위하여, 가스 링은 통상적으로 알루미늄 산화물 층으로 덮혀있다. 이 층은, 그러나, 상대적으로 취성(brittle)이며, 사용중에 반응기의 반복적인 열적 싸이클 동안 균열이 생길 수 있다. 양극화시킨 층에 형성된 균열은 침식성의 공정 가스가 기저 알루미늄 층을 공격하여, 부품 수명을 감소시키며 웨이퍼, 평판 표시 장치 기판 등과 같은 공정 기판의 금속적 및 분자 오염에 기여하게 할 수 있다.
본 발명의 예시적인 구현예에 따르면, 가스 링의 노출된 표면은 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료의 코팅(42)으로 덮여질 수 있다. 세라믹 재료는 (원 산화물 표면 필륨과 같이 또는 없는 상태로) 피복없는 알루미늄 층 또는 알루미늄 산화물 층(예컨대, 양극화시킨 표면을 가지는 알루미늄)상에 코팅될 수 있다. 가스 링을 코팅할 때, 코팅은 그 내측 벽을 코팅하고 보호하기 위하여, 개구를 방해하지 않고, 가스 홀을 부분적으로 관통하도록 허용될 수 있다. 예컨대, 가스 홀은 코팅 공정중에 플러그 또는 마스크될 수 있다.
공정중에 플라즈마에 노출되는 TCP 9400TM식각 반응기의 다른 구성부품도 역시 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료로 코팅될 수 있다. 이러한 구성부품은, 예컨대, 챔버 벽, 챔버 라이너, 척 장치(chucking device) 및 기판 반대의 유전 창(dielectric window) 등을 포함한다. 정전 척과 같은 척 장치의 상부면에 본 발명에 따른 코팅을 제공하는 것은, 웨이퍼가존재하지 않고 따라서 척의 상부면이 플라즈마에 직접 노출되는 세정 싸이클 동안에 척에 대한 부가적인 보호를 제공한다.
본 발명에 따라 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료를 포함하는 또 다른 예시적인 폴리실리콘 식각 반응기는 도 3에서 도시된 바와 같은 California, Fremont의 Lam Research Corporation에서 역시 취득 가능한 VersysTMPolysilicon Etcher 또는 2300TM식각기이다. 반응기는 그 위에 장착된 기판(미도시)에 클램프 력(clamping force)를 제공하는 정전 척(154)을 구비하는 기판 지지체(152)를 구비하는 반응기 챔버(150)를 구비한다. 포커스 링(focus ring:170)은 정전척(electrostatic chuck:154) 둘레의 기판 지지체(152)상에 장착된다. 기판 지지체(152)는 또한 기판에 RF 바이어스(bias)를 적용하기 위하여 사용된다. 기판은 또한 헬륨과 같은 열전달 가스를 사용하여 후방 냉각(back-cooled)될 수 있다. 2300TM식각기에서, 처리 가스(예컨대, cl2, HBr, CF4, CH2F2, O2,N2,Ar,SF6및 NF3)가 챔버(150)의 상부에 위치되며 가스 공급부(156)에 연결된 가스 주입부(168)를 통하여 챔버(150)안으로 안내된다. 가스 주입기(168)는 통상적으로 석영 또는 알루미늄과 같은 세라믹 재료로 제조된다. 도시된 바와 같이, 유도 코일(158)이 고밀도(예컨대, 1011내지 1012ions/cm3) 플라즈마를 제공하기 위하여 적절한 RF 소스(RF source:미도시)에 의하여 전압 공급될 수 있다. 유도 코일(158)은 RF 에너지를 유전 창(160)을 통하여 챔버(150)의 내부로 커플한다. 유전 창(160)은 통상적으로 석영 또는 알루미늄과 같은 세라믹 재료로 제조된다. 유전 창(160)이 고리형 부재(162)상에 장착된 것이 도시되어 있다. 고리형 부재(162)는 유전 창(160)을 챔버(150)의 상부로부터 이격시키며, "가스 분배판(gas distribution plate)"이라 불려진다. 챔버 라이너(164)는 기판 지지체(152)를 둘러싼다. 챔버(150)는 또한 소망하는 압력에서 챔버의 내부를 유지하는 적절한 진공 펌프 장치(미도시)를 구비한다.
도 3에서, 고리형 부재(162), 유전 창(160), 기판 지지체(152), 챔버 라이너(164), 가스 주입기(168), 포커스 링(170) 및 정전척(154)과 같은 반응기 구성부품의 선택된 내부 표면이, 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료의 코팅(166)으로 코팅되어 있는 것이 도시되어 있다. 도 3에서 도시된 바와 같이, 챔버(150)의 선택된 내부 표면과 챔버 라이너(164) 밑의 기판 지지체(152)도 역시 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료의 코팅(166)이 제공된다. 내측 반응기 표면와 더불어, 어떤 또는 모든 이러한 표면은, 본 발명에 따른 코팅이 제공될 수 있다. 후술되는 바와 같이, 어떤 또는 모든 이러한 구성부품들은 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료의 단일체로부터 선택적으로 제조될 수 있다.
본 발명에 따르면, 구성부품은 고밀도 산화물 식각 공정에서 사용될 수 있다. 예시적인 산화물 식각 반응기는 California, Fremont의 Lam ResearchCorporation에서 역시 취득 가능한 TCP 9100TM플라즈마 식각 반응기이다. TCP 9100TM반응기에서, 가스 분배판은 TCPTM바로 밑에 위치된 원형 판이며, 이는 또한 반도체 웨이퍼의 상부 또는 이와 평행한 평면안의 반응기의 상부의 진공 밀폐 표면이다. 가스 분배판은, 상기 가스 분배판의 주위에 위치된 가스 분배 링에 밀폐되어 있다. 가스 분배 링은 공급부로부터의 가스를, 가스 분배판, RF 에너지를 반응기안으로 공급하는 평판 나선(flat spiral) 형태의 안테나를 기저하는 창의 내부 표면 및 가스 분배링에 의하여 한정된 체적안으로 공급한다. 가스 분배판은 판을 통하여 연장되는 지정된 직경의 홀들을 포함한다. 가스 분배판을 관통하는 홀들의 공간적 분배는, 예컨대 웨이퍼상의 포토레지스트 층(photoresist layer), 실리콘 이산화물 층 및 기저층 재료등의 식각되는 층들의 식각 불균일을 최적화시키길 수 있도록 변화될 수 있다. 가스 분배판의 단면 형상은 RF 전원을 반응기안의 플라즈마안으로 조정하기 위하여 변화될 수 있다. 가스 분배판은 이 RF 전원을 가스 분배판을 통하여 반응기안으로 커플링하게 하는 유전체이다. 또한, 가스 분배판의 재료는, 고장과 그와 연결되는 결과적인 입자 발생을 회피하기 위하여, 산소 또는 수소화불화탄소(hydrofluorocarbon) 가스 플라즈마와 같은 화학 스퍼터 -식각에 높은 내성을 지니는 것이 바람직하다.
도 4는 전술한 형태의 플라즈마 반응기를 도시한다. 반응기는 반응기 챔버(10)를 구비한다. 기판 홀더(12)는 정전척(34)을 구비하며, 이는 기판에 클램프 력 및 RF 바이어스를 제공한다. 기판은 헬륨과 같은 열전달 가스를 사용하여 후방 냉각될 수 있다. 포커스 링(14)은 플라즈마를 기판 상부의 영역에 한정시킨다. 고밀도 플라즈마를 제공하기 위하여 적절한 RF 소스에 의하여 전원공급되는 안테나(18)와 같은 챔버내의 고밀도(예컨대, 1010내지 1012ions/cm3)의 플라즈마를 유지하기 위한 에너지의 공급원은 반응기 챔버(10)의 상부에 위치되어 있다. 반응기 챔버는 챔버의 내부를 소망하는 압력(예컨대 50mTorr, 통상적으로 1 내지 20 mTorr)으로 유지시키기 위하여 진공 펌프 장치를 구비한다.
실질적으로 평면인 유전체의 창(20)이 안테나(18)와 공정 챔버(10)의 내부와의 사이에 제공되며, 공정 챔버(10)의 상부에 진공 벽을 형성한다. 가스 분배판(22)은 창(20)하부에 제공되며 가스 공급부(23)로부터의 처리 가스를 챔버(10)안으로 실어 나르기 위한 개구부를 구비한다. 원뿔체의 라이너(30)는 가스 분배판(22)으로부터 연장되며, 기판 홀더(12)를 둘러싼다. 안테나(18)에는 그를 통해 온도 조절 유체가 입구 및 출구 도관(25,26)을 지나 유동하는 채널(4)이 제공된다. 그러나, 안테나 및/또는 창(20)은 냉각될 필요 없거나, 가스를 안테나 및 창 위로날리거나, 창 및/또는 가스 분배판 등을 통하여 또는 이와 열전달 접촉하는 냉각 유체를 통과시키는 것 등에 의해 다른 적절한 기술로서 냉각될 수 있다.
작동중, 반도체 웨이퍼등과 같은 기판은 기판 홀더(12)상에 위치되며, 정전척(34)에 의하여 제 위치에 고정된다. 그러나, 기계적인 클램프 기구와 같은 다른 클램프 수단도 사용될 수 있다. 또한, 기판과 척 사이의 열전달을 개선시키기 위하여 헬륨 후방 냉각이 채용될 수 있다. 처리 가스는 그 다음, 창(20)과 가스 분배판(22)사이의 갭(gap)을 통하여 처리 가스를 통과시킴으로서 진공 공정 챔버(10)로 공급된다. 적절한 가스 분배판 배치(즉, 샤워헤드)가 공동으로 소유된 U.S 특허 번호 5,824,605, 6,048,798 및 5,863,376에 개시되어 있으며, 그 각각이 전부로서 여기에 참조로서 포함된다. 고밀도 플라즈마는 적절한 RF 파워를 안테나(18)로 공급함으로써 기판과 창사이의 공간 안에서 점화된다.
]도 4에서, 가스 분배판(22), 챔버 라이너(30), 정전척(34) 및 포커스 링(14)와 같은 반응기 구성부품의 내부 표면은 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료의 코팅(32)으로 코팅된다. 그러나, 이러한 표면들 및/또는 다른 표면들중의 선택된 것들만이 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료로 코팅될 수 있다.
당업자는 전술된 고밀도 폴리실리콘 및 유전체 식각 챔버는 본 발명에 따른 구성부품을 포함할 수 있는 플라즈마 식각 반응기의 예시적인 구현예에 불과하다는 것을 이해할 것이다. 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료를 포함하는 구성부품은, 플라즈마에 의해 유도된 침식, 부식 및/또는 부식-침식의 감소 및 관련된 오염의 감소가 바람직한 어떠한 식각 반응기(예컨대, 금속 식각 반응기) 또는 다른 형식의 반도체 공정 장비에서 사용될 수 있다.
예컨대, 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료의 코팅이 제공될 수 있는 다른 구성부품은, 챔버 벽, 기판 홀더, 파스너 등을 포함하지만, 그러나 이에 제한되는 것은 아니다. 이러한 부품은 통상적으로 금속(예컨대, 알루미늄) 또는 세라믹(예컨대, 산화 알루미늄)로부터 제조된다. 이러한 금속 플라즈마 반응기 구성부품은 통상적으로 플라즈마에 노출되어 있으며, 가끔 침식, 부식 및 부식-침식의 징후를 나타낸다. 본 발명에 따라 코팅될 수 있는 다른 부품은 플라즈마에 직접적으로 노출될 필요 없고, 그러나 가공된 웨이퍼 등으로부터 방사된 가스와 같은 부식성 가스에 노출될 수 있다. 따라서, 반도체 기판을 가공하는데 사용되는 다른 장비에도 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료가 제공될 수 있다. 그러한 장비는 수송 기구, 가스 공급 시스템, 라이너, 리프트 기구, 로드 락, 도어 기구, 로봇 아암, 파스너 등을 포함할 수 있다.
본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료로 코팅될 수 있는 금속 재료의 예들은, 예컨대 6061-T6 알루미늄과 304 및 316 스텐레스 강등의 알류미늄 및 알루미늄 합금, 스텐레스 강 및 내화성 금속을 포함한다. 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료가 구성부품상에 내마모성 코팅을 형성하기 때문에, 기저 구성부품은 플라즈마에의 직접 노출로부터 보호된다. 따라서, 금속 기판은 플라즈마에 의한 침식, 부식 및/또는 부식-침식 공격으로부터 보호될 수 있다. 따라서, 알루미늄 합금과 같은 금속 재료는 합금 첨가물, 그레인 구조(grain structure) 또는 표면 조건에 관계없이 사용될 수 있다.
또한, 다양한 세라믹 또는 폴리머 재료가 또한 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료로 코팅될 수 있다. 특히, 반응기 구성부품이 산화 알루미늄(Al2O3), 실리콘 탄화물(SiC), 실리콘 질화물(Si3N4), 붕소 탄화물(B4C) 및/또는 붕소 질화물(BN)을 포함하는 그러나 이에 제한되지는 않는 세라믹 재료로부터 제작될 수 있다. 코팅될 수 있는 폴리머 재료는 플라즈마 반응기안에 존재하는 높은 온도 조건을 견딜 수 있는 것들이 바람직하다.
바람직하다면, 코팅되는 기판의 표면과 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료 코팅과의 사이에 하나 또는 그 이상의 재료의 중간층이 제공될 수 있다. 도 5는, 본 발명의 예시적인 바람직한 구현예에 따른 코팅된 구성부품을 도시한다. 제 1 중간층(80)은 종래의 기술에 의하여 기판(70)상에 선택적으로 코팅되어 있다. 선택적인 제 1 중간충(80)은 충분히 두꺼워서, 기판에 접착하고 선택적인 제 2 중간층(90) 또는 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료 코팅(100)을 형성하기 전에 가공될 수 있도록 허용한다. 제 1 중간 코팅(80) 및 제 2 중간 코팅(90)은 이러한 소망하는 특성을 제공하는 적절한 두께를 가질 수 있다. 이러한 코팅은 적어도 약 0.001 inch, 바람직하게는 약 0.001 내지 약 0.25 inch, 보다 바람직하게는 약 0.001 내지 약 0.15 inch, 가장 바람직하게는 약 0.001 inch 내지 약 0.05 inch의 두께를 가질 수 있다.
선택적인 제 1 중간 코팅(80)을 반응기 구성부품(70)상에 증착시킨 후, 제 1 중간 코팅은, 어떠한 적절한 기술을 사용하여 러프닝(roughening)등에 의하여 처리될 수 있고, 그 뒤 선택적인 제 2 중간 코팅(90), 또는 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료 코팅(100)으로 코팅될 수 있다. 거칠게 된제 1 중간 코팅(80)은 수반되어 적용되는 코팅에 특히 좋은 결합를 제공할 수 있다. 바람직하게는, 제 2 중간 코팅(90)은 높은 기계적 압축 강도를 제 1 중간 코팅(80)으로 전해주며 제 2 중간 코팅(90)안의 균열의 형성을 감소시킨다.
제 2 중간 코팅(90)은 충분히 두꺼워서, 제 1 중간 코팅(80)에 접착하고 어떠한 부가적인 중간 코팅 또는 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료 코팅(100)을 형성하기 전에 가공될 수 있도록 허용한다. 제 2 중간 코팅(90)도 역시 러프닝(roughening)과 같은 것으로 처리될 수 있다. 제 2 중간 코팅(90)은, 적어도 약 0.001 inch, 바람직하게는 약 0.001 내지 약 0.25 inch, 보다 바람직하게는 약 0.001 내지 약 0.15 inch, 가장 바람직하게는 약 0.001 inch 내지 약 0.05 inch의 두께와 같이 이러한 소망하는 특성을 제공하는 적절한 두께를 가질 수 있다.
제 1 및 제 2 중간 코팅은 반도체 플라즈마 공정 챔버에서 사용되기에 적절한 어떠한 금속, 세라믹 및 폴리머 재료로 만들어질 수 있다. 사용될 수 있는 특별히 바람직한 금속은 높은 공정 온도를 견딜 수 있는 내화성의 재료를 포함하지만 그러나 이에 제한되는 것은 아니다. 바람직한 세라믹은 사플루오르화에틸렌수지(PTFE:polytetrafluoroethylene) 및 폴리이미드(polyimides)와 같은 플루오르 폴리머(fluoropolymers)를 포함하지만 그러나 이에 제한되는 것은 아니다.
중간 코팅은 도금(예컨대, 무전해 도금(electroless plating) 또는 전해도금(electroplating)), 스퍼터링, 침적 도장, 화학 기상 증착, 물리 기상 증착, 전기영동 증착(electrophoretic deposition), 열간 정수압 프레스(hot isostaticpressing), 냉간 정수압 프레스(cold isostatic pressing), 압축 성형(compression molding), 주조, 컴팩팅(compacting), 소결, 및 용사(예컨대, 플라즈마 용사)와 같은 적절한 증착 기술에 의하여 적용될 수 있다.
선택적 제 1 중간 코팅(80) 및 제 2 중간 코팅(90)은 그 소망되는 특성에 따라서 서로 동일한 또는 상이한 배합을 가질 수 있다. 바람직하다면, 동일한 또는 상이한 재료의 제 3, 제 4 또는 제 5의 중간 코팅과 같은 부가적인 중간 코팅도 역시 코팅과 기판 사이에 제공될 수 있다.
도 6은 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료 의 또 다른 예시적인 구현예를 도시한다. 코팅(100)은 구성부품(70)의 외측 표면인 기판상에 직접 증착될 수 있다. 코팅은 구성부품에 소망하는 정도의 내마모성을 제공하는 적절한 두께를 가질 수 있다. 특히, 코팅(100)은 적어도 약 0.001 inch 내지 약 1 inch, 가장 바람직하게는 약 0.001 내지 약 0.5 inch, 보다 바람직하게는 약 0.001 내지 약 0.05 inch의 범위의 두께를 가질 수 있다. 세라믹 층의 두께는 반응기(예컨대, 식각, CVD 등)에서 부닺히는 플라즈마 환경과 호환성있게 선택될 수 있다.
전술된 바와 같이, 용사는 본 발명에 따른 코팅 표면을 갖는 구성부품을 제공하는 바람직한 방법이다. 그러나, 예컨대, 스퍼터링, 침적 도장(immersion coating), 화학 기상 증착, 물리 기상 증착, 전기영동 증착(electrophoretic deposition), 열간 정수압 프레스(hot isostatic pressing), 냉간 정수압 프레스(cold isostatic pressing), 압축 성형(compression molding), 주조, 컴팩팅(compacting), 소결과 같은 다른 코팅 방법도 역시 사용될 수 있다.
전술된 바와 같이, 반도체 공정 장치의 구성부품은 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료로부터 단일체로 또한 제조될 수 있다. 이러한 단일체는 별개의 몸체이거나 다른 구성부품을 위한 피복일 수 있다. 예컨대, 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료는 라이너와 같이 반응기 구성부품의 노출된 표면을 덮기 위하여 구성된 피복이 형성될 수 있다. 이러한 피복은, 예컨대, 접착 결합(adhesive bonding)을 포함하는 어떠한 적절한 파스닝(fastening) 기술 또는 기계적인 파스너에 의하여 반응기 챔버안의 표면에 접착될 수 있다. 파스너가 사용될 때에, 파스너 자체가, 만일 플라즈마에 노출된다면, 그 기능 수명을 향상시키기 위하여 역시 내침식 재료로 제조되는 것이 바람직하다. 부가적으로, 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료 피복이 기저 반응기 구성부품과 맞물리도록 구성될 수 있다. 단일체의 피복은 예컨대 벽 및 다른 표면상의 어떠한 적절한 기판상에 제공될 수 있다.
하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료로부터 단일체를 제조하는 예시적인 방법은 예컨대 하프늄 산화물, 스트론튬 산화물, 디스프로슘 산화물 및/또는 란탄 산화물을 포함하는 슬러리를 준비하고; 슬러리로부터 소망하는 형태와 크기로 그린 컴팩트를 형성하고; 소결된 몸체를 형성하기 위하여 컴팩트를 소결하는 것;을 포함할 수 있다. 그린 컴팩트는 어떠한 소망하는 플라즈마 반응기 구성부품의 형상으로 형성될 수 있다. 세라믹 공정 기술에 대한 상세한 것은 W.D. Kingery, H.K. Bowen 및 D.R. Uhlmann저 (J. Wiley & Sons사, 1976)"Introduction to Ceramics, 제 2 판"에서 주어진다. 이 기술은 그 전체로서 여기에 참조로서 포함된다.
단일체의 구성부품은 플라즈마 반응기의 플라즈마 노출된 구성부품인 것이 바람직하다. 적절한 구성부품은 예컨대, 로봇 아암, 파스너, 내측 및 외측 챔버 벽 등과 같은 챔버 벽, 기판 지지체, 샤워헤드를 구비하는 가스 분배 시스템, 배플, 링, 노즐, 파스너, 가열 요소, 플라즈마 스크린, 라이너, 수송 모듈 구성부품을 포함할 수 있다. 그러한 구성부품의 특정한 예가 도 7에 도시된 반응기 구성부품(110)이다. 반응기 구성부품(110)은 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료로 제조된 단일체이다.
하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 재료는 반응기 챔버 및 구성부품의 모든 또는 일부에 제공될 수 있다. 바람직한 구현예에서, 코팅 또는 단일체는 플라즈마와 직접 접촉하는 부품 또는 챔버 구성부품(예컨대, 라이너) 후방에 위치된 부품과 같이 플라즈마 환경에 노출된 반응기 챔버의 영역에 제공된다. 부가적으로, 하프늄 산화물, 스트론튬 산화물, 디스프로슘 산화물 및/또는 란탄을 포함하는 코팅 또는 단일체가, 상대적으로 높은 바이어스 볼트(즉, 상대적으로 높은 스퍼터 이온 에너지)에 취약한 반응기 챔버의 영역에 제공되는 것이 바람직하다.
본 발명에 따라, 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 코팅 또는 피복 적용하는 것 또는 단일체의 하프늄, 스트론튬, 디스프로슘 및/또는 란탄을 포함하는 세라믹 구성부품을 구성하는 것에 의하여 장점이 실현된다. 즉,플라즈마 반응기에서 낮은 침식률이 달성된다. 따라서, 본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 코팅, 피복및 구성부품은 금속 및 입자 오염의 정도를 감소시키고, 소모품의 수명을 증가시킴으로써 비용을 절감하며, 공정 드리프트를 줄이며 챔버 부품 및 기판의 부식 정도를 감소시킬 수 있다.
본 발명에 따른 하프늄, 스트론튬, 디스프로슘 및 란탄을 포함하는 세라믹 코팅, 피복 및 구성부품은 극히 단단하고 내마모성의 표면을 제공할 수 있다. 그러한 코팅 또는 구성부품은 공정 챔버 가스와 반응하는 물질이 바람직하게 존재하지 않고, 화학적으로 불활성이어서, 입자 오염, 부식, 금속 오염 및/또는 휘발성의 식각 제품이 낮거나 또는 전혀 없게된다.
비록 본 발명이 그 특정한 구현예에 관하여 상세하게 기술되었지만, 첨부된 특허 청구 범위의 범위를 벗어나지 않으면서 다양한 변화 및 변형이 만들어 질 수 있으면, 균등물이 채용될 수 있다는 것이 당업자에게 분명할 것이다.

Claims (40)

  1. 반도체 공정 장치의 구성부품으로서, 세라믹 재료를 구비한 적어도 하나의 부분을 구비하며, 상기 부분은 구성부품의 최외측 표면을 구비하며, 상기 세라믹 재료는 하프늄 질화물, 하프늄 붕소화물, 하프늄 탄화물, 하프늄 플루오르화물, 스트론튬 산화물, 스트론튬 질화물, 스트론튬 붕소화물, 스트론튬 탄화물, 스트론튬 플루오르화물, 란탄 산화물, 란탄 질화물, 란탄 붕소화물, 란탄 탄화물, 란탄 플루오르화물, 디스프로슘 산화물, 디스프로슘 질화물, 디스프로슘 붕소화물, 디스프로슘 탄화물 및 디스프로슘 플루오르화물로 구성된 그룹으로부터 선택된 하나의 재료를 세라믹 재료 코팅의 단일한 최대 구성성분으로 구비하는 것을 특징으로 하는 구성부품.
  2. 제 1항에 있어서,
    세라믹 재료는 스트론튬 산화물 및 디스프로슘 산화물의 하나를 단일한 최대 구성성분으로 구비하는 것을 특징으로 하는 구성부품.
  3. 제 1항에 있어서,
    구성부품은 기판을 구비하며, 세라믹 재료는 기판상의 코팅인 것을 특징으로 하는 구성부품.
  4. 제 3항에 있어서,
    코팅은 약 0.01 in. 내지 약 0.050 in.의 두께를 가지는 것을 특징으로 하는 구성부품.
  5. 제 3항에 있어서,
    코팅은 실질적으로 세라믹 재료로 구성되는 것을 특징으로 하는 구성부품.
  6. 제 3항에 있어서,
    기판상의 적어도 하나의 중간 층;을 더 구비하며, 코팅은 적어도 하나의 중간 층상에 있는 것을 특징으로 하는 구성부품.
  7. 제 1항에 있어서,
    세라믹 재료는
    (i)주기율표의 IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB 및 VB 족의 원소중 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물, 및
    (ii)주기율표의 악티니드 계열의 원소중 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물로 구성된 그룹에서 선택된 적어도 하나의 재료를 더 구비하는 것을 특징으로 하는 구성부품.
  8. 제 1항에 있어서,
    상기 구성부품은, 챔버 벽, 챔버 라이너, 가스 분배판, 가스 링, 주춧대, 유전체 창, 정전척 및, 플라즈마 포커스 링 으로 구성된 그룹으로부터 선택된 것을 특징으로 하는 구성부품.
  9. 제 1항에 따른 적어도 하나의 구성부품을 구비하는 플라즈마 식각 반응기
  10. 제 1항에 따른 반도체 공정 장치의 구성부품을 제조하는 과정으로서,
    기판상에 코팅으로서 세라믹 재료를 적용하는 단계를 구비하며, 상기 코팅은 구성부품의 최외층 표면을 구비하는 것을 특징으로 하는 반도체 공정 장치의 구성부품의 제조 과정.
  11. 제 10항에 있어서,
    세라믹 재료는 단일한 최대 구성성분으로서 스트론튬 산화물, 디스프로슘 산화물 및 란탄 산화물의 하나를 구비하는 것을 특징으로 하는 공정.
  12. 제 10항에 있어서,
    기판의 표면을 러프닝(roughening)하는 단계와, 세라믹 재료의 기판상의 점착을 향상시키기 위하여 러프닝된 표면상에 세라믹 재료를 적용하는 단계를 더 구비하는 것을 특징으로 하는 공정.
  13. 제 10항에 있어서,
    코팅은 본질적으로 세라믹 재료로 구성되는 것을 특징으로 하는 공정.
  14. 제 10항에 있어서,
    기판상에 적어도 하나의 중간 층을 적용하며; 그리고,
    적어도 하나의 중간 층상에 코팅을 적용하는 것을 더 포함하는 것을 특징으로 하는 공정.
  15. 제 14항에 있어서,
    기판상에 적어도 하나의 중간 층의 접착을 향상시키기 위하여 적어도 하나의 중간층을 적용하기 전에 기판을 처리하며; 그리고,
    적어도 하나의 중간 층상에 세라믹 재료의 접착을 향상시키기 위하여 세라믹 재료를 적용시키기 전에 적어도 하나의 중간 층을 처리하는 것;의 적어도 하나를 더 포함하는 것을 특징으로 하는 공정.
  16. 제 10항에 있어서,
    세라믹 재료는 용사에 의해 기판상에 적용되는 것을 특징으로 하는 공정.
  17. 제 10항에 있어서,
    세라믹 재료는,
    (i)주기율표의 IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB 및 VB 족의 원소중 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물, 및
    (ii)주기율표의 악티니드 계열의 원소중 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물로 구성된 그룹에서 선택된 적어도 하나의 재료를 더 구비하는 것을 특징으로 하는 공정.
  18. 제 1항에 따른 반도체 공정 장치의 구성부품을 제조하는 공정으로서,
    본질적으로 세라믹 재료로 구성된 단일체의 부품으로 구성부품을 형성하는 것을 포함하는 것을 특징으로 하는 공정.
  19. 반도체 공정 장치의 구성부품으로서,
    (a)세라믹 재료의 단일한 최대 구성성분으로서 하프늄 산화물, 하프늄 질화물, 하프늄 붕소화물, 하프늄 탄화물 및 하프늄 플루오르화물의 하나를 구비하는 세라믹 재료로 전부 구성된 단일체의 부분;
    (b)금속을 포함하는 또는 폴리머 기판, 그리고 구성부품의 최외측 표면을 형성하는 상기 기판상의 세라믹 재료의 코팅을 구비하는 복합 부분을 구비하는 것을 특징으로 하는 구성부품.
  20. 제 19항에 있어서,
    세라믹 재료는 본질적으로 하프늄 산화물로 구성되는 것을 특징으로 하는 구성부품.
  21. 제 19항에 있어서,
    세라믹 재료는,
    (i)주기율표의 IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB 및 VB 족의 원소중 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물, 및
    (ii)주기율표의 악티니드 계열의 원소중 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물로 구성된 그룹에서 선택된 적어도 하나의 재료를 더 구비하는 것을 특징으로 하는 구성부품.
  22. 제 19항에 있어서,
    복합 부분은,
    기판상의 적어도 하나의 중간 층; 및,
    적어도 하나의 중간 층상의 세라믹 재료 코팅;을 더 구비하는 것을 특징으로 하는 구성부품.
  23. 제 19항에 있어서,
    상기 구성부품은, 챔버 벽, 가스 분배판, 가스 링, 주춧대, 유전체 창, 정전척 및 플라즈마 포커스 링 으로 구성된 그룹으로부터 선택된 것을 특징으로 하는 구성부품.
  24. 제 19항에 있어서,
    단일체의 부분과 코팅은 본질적으로 세라믹 재료로 구성되는 것을 특징으로 하는 구성부품.
  25. 제 19항에 따른 적어도 하나의 구성부품을 구비하는 반도체 공정 장치.
  26. 제 19항에 따른 반도체 공정 장치의 구성부품을 제조하는 공정으로서,
    (a)단일체의 부분을 오르지 세라믹 재료로 형성하는 것; 또는
    (b)코팅이 복합 부분의 최외층 표면을 형성하도록 기판상에 세라믹 재료의 코팅을 적용하는 것;을 구비하는 것을 특징으로 하는 공정.
  27. 제 26항에 있어서,
    코팅은 약 0.01 in. 내지 약 0.050 in.의 두께를 가지는 것을 특징으로 하는 공정.
  28. 제 26항에 있어서,
    기판상에 적어도 하나의 중간 층을 적용하는 것; 및
    적어도 하나의 중간 코팅상에 코팅을 적용하는 것;을 더 구비하는 것을 특징으로 하는 공정.
  29. 제 28항에 있어서,
    기판은, 금속 기판이며; 그리고,
    공정은,
    기판상에 적어도 하나의 중간 층의 접착을 향상시키기 위하여 적어도 하나의 중간층을 적용하기 전에 기판을 처리하는 것; 그리고,
    적어도 하나의 중간 층상에 세라믹 재료의 접착을 향상시키기 위하여 세라믹 재료를 적용시키기 전에 적어도 하나의 중간 층을 처리하는 것;의 적어도 하나를 더 포함하는 것을 특징으로 하는 공정.
  30. 제 26항에 있어서,
    기판은 금속 기판이며; 그리고
    코팅은 기판상에 용사에 의해 적용되는 것;을 특징으로 하는 공정.
  31. 제 26항에 있어서,
    세라믹 재료는,
    (i)주기율표의 IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB 및 VB 족의 원소중 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물, 및
    (ii)주기율표의 악티니드 계열의 원소중 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물로 구성된 그룹에서 선택된 적어도 하나의 재료를 더 구비하는 것을 특징으로 하는 공정.
  32. 제 26항에 있어서,
    상기 구성부품은, 챔버 벽, 가스 분배판, 가스 링, 주축대, 유전체 창, 정전척 및 플라즈마 포커스 링 으로 구성된 그룹으로부터 선택된 것을 특징으로 하는 공정.
  33. 제 26항의 공정에 의하여 제조된 플라즈마 반응기의 구성부품.
  34. 반도체 공정 장치의 구성부품을 제조하는 공정으로서,
    하프늄 산화물, 하프늄 질화물, 하프늄 붕소화물, 하프늄 탄화물, 하프늄 플루오르화물, 스트론튬 산화물, 스트론튬 질화물, 스트론튬 붕소화물, 스트론튬 탄화물, 스트론튬 플루오르화물, 란탄 산화물, 란탄 질화물, 란탄 붕소화물, 란탄 탄화물, 란탄 플루오르화물, 디스프로슘 산화물, 디스프로슘 질화물, 디스프로슘 붕소화물, 디스프로슘 탄화물 및 디스프로슘 플루오르화물로 구성된 그룹으로부터 선택된 적어도 하나의 세라믹 재료를 슬러리의 단일한 최대 구성성분으로 구비하는 슬러리를 준비하는 것;
    슬러리로부터 그린 컴팩트(green compact)를 형성하는 것; 및
    구성부품을 형성하기 위하여 그린 컴팩트를 소결(sinter)하는 것;을 포함하는 것을 특징으로 하는 공정.
  35. 제 34항에 있어서,
    슬러리는 하프늄 산화물, 스트론튬 산화물, 란탄 산화물 및 디스프로슘 산화물의 하나를 슬러리의 단일한 최대의 구성성분으로 구비하는 것을 특징으로 하는 공정.
  36. 제 34항에 있어서,
    구성부품은 오로지 적어도 하나의 세라믹 재료로 구성되는 것을 특징으로 하는 공정.
  37. 제 34항에 있어서,
    구성부품은 단일한 최대 구성성분으로 하프늄 산화물을 구비하는 것을 특징으로 하는 공정.
  38. 제 34항에 있어서,
    세라믹 재료는,
    (i)주기율표의 IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB 및 VB 족의 원소중 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물, 및
    (ii)주기율표의 악티니드 계열의 원소중 산화물, 질화물, 붕소화물, 플루오르화물 및 탄화물로 구성된 그룹에서 선택된 적어도 하나의 재료를 더 구비하는 것을 특징으로 하는 공정.
  39. 제 34항에 있어서,
    상기 구성부품은, 챔버 벽, 가스 분배판, 가스 링, 주춧대, 유전체 창, 정전척 및 플라즈마 포커스 링 으로 구성된 그룹으로부터 선택된 것을 특징으로 하는 공정.
  40. 제 34항의 공정에 의하여 제조된 플라즈마 식각 반응기의 구성부품.
KR1020047014822A 2002-03-21 2003-02-12 반도체 공정 장치의 저오염 구성부품 및 구성부품의 제조 방법 KR101024514B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/101,701 US6780787B2 (en) 2002-03-21 2002-03-21 Low contamination components for semiconductor processing apparatus and methods for making components
US10/101,701 2002-03-21
PCT/US2003/004061 WO2003080892A1 (en) 2002-03-21 2003-02-12 Low contamination components for semiconductor processing apparatus and methods for making components

Publications (2)

Publication Number Publication Date
KR20040101330A true KR20040101330A (ko) 2004-12-02
KR101024514B1 KR101024514B1 (ko) 2011-03-31

Family

ID=28040059

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047014822A KR101024514B1 (ko) 2002-03-21 2003-02-12 반도체 공정 장치의 저오염 구성부품 및 구성부품의 제조 방법

Country Status (9)

Country Link
US (6) US6780787B2 (ko)
EP (1) EP1495155A1 (ko)
JP (2) JP2005521250A (ko)
KR (1) KR101024514B1 (ko)
CN (1) CN100357489C (ko)
AU (1) AU2003210966A1 (ko)
IL (1) IL163917A (ko)
TW (2) TWI299182B (ko)
WO (1) WO2003080892A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019156296A1 (ko) * 2017-02-14 2019-08-15 에스케이씨솔믹스 주식회사 보론카바이드를 포함하는 플라즈마 처리장치 및 그 제조방법

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
DE10229379A1 (de) * 2002-06-26 2004-01-29 Schering Ag EG-VEGF Rezeptor Antagonisten
KR20050094436A (ko) * 2003-01-27 2005-09-27 동경 엘렉트론 주식회사 패스닝 하드웨어를 개선하기 위한 방법 및 장치
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20060027923A1 (en) * 2004-08-09 2006-02-09 Tania Bhatia Coating process to enable electrophoretic deposition
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
US20060180569A1 (en) * 2005-02-15 2006-08-17 Chang Hsi-Ming Method of manufacturing step contact window of flat display panel
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7972703B2 (en) * 2005-03-03 2011-07-05 Ferrotec (Usa) Corporation Baffle wafers and randomly oriented polycrystalline silicon used therefor
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
KR101322549B1 (ko) 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
JP5040119B2 (ja) * 2006-02-22 2012-10-03 東京エレクトロン株式会社 耐環境部材、半導体製造装置及び耐環境部材の製造方法
JP2007036197A (ja) * 2005-06-23 2007-02-08 Tokyo Electron Ltd 半導体製造装置の構成部材及び半導体製造装置
JP4818659B2 (ja) * 2005-08-08 2011-11-16 いすゞ自動車株式会社 内燃機関の燃焼室用摺動部材及びその製造方法
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP5065660B2 (ja) * 2005-12-02 2012-11-07 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 半導体処理
KR100792365B1 (ko) * 2006-06-30 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 리세스 게이트 제조 방법
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
KR20090093819A (ko) * 2008-02-28 2009-09-02 코바렌트 마테리얼 가부시키가이샤 플라즈마 처리 장치에 이용되는 소결체 및 부재
KR101060606B1 (ko) 2008-08-21 2011-08-31 서울대학교산학협력단 박막증착방법
JP5235596B2 (ja) * 2008-10-15 2013-07-10 東京エレクトロン株式会社 Siエッチング方法
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011066314A1 (en) * 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US20120177908A1 (en) * 2010-07-14 2012-07-12 Christopher Petorak Thermal spray coatings for semiconductor applications
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102260856A (zh) * 2011-07-26 2011-11-30 中微半导体设备(上海)有限公司 抗刻蚀层、半导体处理装置及制作方法
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
JP6034156B2 (ja) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102101192B1 (ko) * 2012-07-27 2020-04-21 어플라이드 머티어리얼스, 인코포레이티드 조면화된 기판 지지부
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
JP6071514B2 (ja) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 静電チャックの改質方法及びプラズマ処理装置
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
WO2014189622A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. A coated liner assembly for a semiconductor processing chamber
US20140357092A1 (en) * 2013-06-04 2014-12-04 Lam Research Corporation Chamber wall of a plasma processing apparatus including a flowing protective liquid layer
US9637415B2 (en) 2013-10-24 2017-05-02 Surmet Corporation Method of making high purity polycrystalline aluminum oxynitride bodies useful in semiconductor process chambers
US11015244B2 (en) * 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9580360B2 (en) * 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
GB201511282D0 (en) * 2015-06-26 2015-08-12 Spts Technologies Ltd Plasma etching apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20170040146A1 (en) * 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10388492B2 (en) * 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109923092B (zh) * 2016-12-20 2022-04-01 三井金属矿业株式会社 稀土氟氧化物烧结体以及其制造方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN109423606A (zh) * 2017-08-24 2019-03-05 中微半导体设备(上海)有限公司 聚焦环及其耐腐蚀防护方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102262640B1 (ko) * 2017-09-28 2021-06-08 맥스터리얼, 인크. 표면 코팅을 포함하는 물품 및 이의 제조 방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102188366B1 (ko) 2017-11-21 2020-12-08 와틀로 일렉트릭 매뉴팩츄어링 컴파니 세라믹 받침대에서 사용하기 위한 이중 목적 비아
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
CN111566778A (zh) * 2018-01-08 2020-08-21 朗姆研究公司 管理等离子体处理副产物材料的组件和工艺
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN110540424B (zh) * 2018-05-29 2021-12-21 山东工业陶瓷研究设计院有限公司 一种氧化锆陶瓷注射成型用喂料及其制备方法
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111326470A (zh) * 2018-12-17 2020-06-23 夏泰鑫半导体(青岛)有限公司 静电夹盘及半导体设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114308900A (zh) * 2021-12-22 2022-04-12 深圳泰德半导体装备有限公司 等离子清洗机
WO2023192402A1 (en) * 2022-03-31 2023-10-05 Lam Research Corporation Radiative heat windows and wafer support pads in vapor etch reactors

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2964947A (en) * 1958-09-08 1960-12-20 Springfield Greene Ind Inc Thermometer
US3630770A (en) * 1969-04-30 1971-12-28 Gen Electric Method for fabricating lanthanum boride cathodes
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS63100065A (ja) 1986-10-14 1988-05-02 セイコーエプソン株式会社 窒化物焼結体の製造方法
JPS63206397A (ja) * 1987-02-20 1988-08-25 Nec Corp GaAs結晶成長用坩堝
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5089746A (en) * 1989-02-14 1992-02-18 Varian Associates, Inc. Production of ion beams by chemically enhanced sputtering of solids
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP3009177B2 (ja) * 1990-04-06 2000-02-14 東芝タンガロイ株式会社 密着性にすぐれた被覆セラミックス焼結体
CA2082819C (en) 1990-05-18 2001-10-02 Bp Chemicals (Hitco) Inc. Materials for chemical vapor deposition processes
JP3017528B2 (ja) 1990-11-27 2000-03-13 アプライドマテリアルズジャパン株式会社 プラズマ処理装置
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JP3255469B2 (ja) * 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
JPH06188108A (ja) * 1992-12-21 1994-07-08 Canon Inc 薄膜抵抗器の製造方法、成膜装置用防着板及び成膜装置
US5705080A (en) 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JP3659435B2 (ja) 1996-02-29 2005-06-15 京セラ株式会社 耐食性部材、プラズマ処理装置、半導体製造装置、液晶製造装置及び放電容器。
US5934900A (en) * 1996-03-29 1999-08-10 Integrated Thermal Sciences, Inc. Refractory nitride, carbide, ternary oxide, nitride/oxide, oxide/carbide, oxycarbide, and oxynitride materials and articles
US6071627A (en) * 1996-03-29 2000-06-06 Kabushiki Kaisha Toshiba Heat-resistant member and a method for evaluating quality of a heat-resistant member
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3619330B2 (ja) * 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
JP3623054B2 (ja) 1996-08-28 2005-02-23 京セラ株式会社 プラズマプロセス装置用部材
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US6231969B1 (en) * 1997-08-11 2001-05-15 Drexel University Corrosion, oxidation and/or wear-resistant coatings
JPH11219937A (ja) 1998-01-30 1999-08-10 Toshiba Corp プロセス装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
JP3618048B2 (ja) * 1998-09-14 2005-02-09 京セラ株式会社 半導体製造装置用部材
JP2000114189A (ja) 1998-10-06 2000-04-21 Toshiba Corp 真空処理装置
JP4194143B2 (ja) * 1998-10-09 2008-12-10 株式会社神戸製鋼所 ガス耐食性とプラズマ耐食性に優れたアルミニウム合金材
EP1013623B1 (en) * 1998-12-21 2004-09-15 Shin-Etsu Chemical Co., Ltd. Corrosion-resistant composite oxide material
JP2000302553A (ja) 1999-04-14 2000-10-31 Taiheiyo Cement Corp 耐蝕性フッ化物基複合セラミックス焼結体
JP3732966B2 (ja) 1999-04-28 2006-01-11 京セラ株式会社 耐食性部材
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP2001237140A (ja) * 1999-12-13 2001-08-31 Murata Mfg Co Ltd 積層型セラミック電子部品およびその製造方法ならびにセラミックペーストおよびその製造方法
JP2001207275A (ja) 2000-01-25 2001-07-31 Kyocera Corp 耐食性部材およびチャンバ構成部材
JP2001240482A (ja) * 2000-02-29 2001-09-04 Kyocera Corp 耐プラズマ部材、高周波透過部材およびプラズマ装置
JP2001284328A (ja) * 2000-03-31 2001-10-12 Taiheiyo Cement Corp セラミック部品
JP2001295075A (ja) * 2000-04-12 2001-10-26 Toshiba Corp 金属基材への耐食セラミックコ−ティング部材、その製造方法、およびその部材から構成される部品
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
DE60127035T2 (de) * 2000-06-29 2007-11-08 Shin-Etsu Chemical Co., Ltd. Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür
JP2002037683A (ja) * 2000-07-24 2002-02-06 Toshiba Ceramics Co Ltd 耐プラズマ性部材およびその製造方法
US6479108B2 (en) * 2000-11-15 2002-11-12 G.T. Equipment Technologies, Inc. Protective layer for quartz crucibles used for silicon crystallization
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019156296A1 (ko) * 2017-02-14 2019-08-15 에스케이씨솔믹스 주식회사 보론카바이드를 포함하는 플라즈마 처리장치 및 그 제조방법

Also Published As

Publication number Publication date
AU2003210966A1 (en) 2003-10-08
CN1643178A (zh) 2005-07-20
TW200305198A (en) 2003-10-16
US20090120790A1 (en) 2009-05-14
KR101024514B1 (ko) 2011-03-31
WO2003080892A1 (en) 2003-10-02
EP1495155A1 (en) 2005-01-12
CN100357489C (zh) 2007-12-26
US20030181065A1 (en) 2003-09-25
US20090068845A1 (en) 2009-03-12
US8318327B2 (en) 2012-11-27
TWI299182B (en) 2008-07-21
US6780787B2 (en) 2004-08-24
US20090123735A1 (en) 2009-05-14
US8935990B2 (en) 2015-01-20
IL163917A (en) 2009-11-18
JP2010153881A (ja) 2010-07-08
US20130059071A1 (en) 2013-03-07
US20050003240A1 (en) 2005-01-06
TW200802545A (en) 2008-01-01
TWI300587B (en) 2008-09-01
JP2005521250A (ja) 2005-07-14

Similar Documents

Publication Publication Date Title
KR101024514B1 (ko) 반도체 공정 장치의 저오염 구성부품 및 구성부품의 제조 방법
KR100882758B1 (ko) 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅
JP4331479B2 (ja) 半導体処理装置における高靭性ジルコニアセラミック構成要素とコーティングおよびその製造方法
US7311797B2 (en) Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7605086B2 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140310

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150306

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160308

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170314

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180307

Year of fee payment: 8