TWI277827B - Method of optical proximity correction design for contact hole mask - Google Patents

Method of optical proximity correction design for contact hole mask Download PDF

Info

Publication number
TWI277827B
TWI277827B TW093100903A TW93100903A TWI277827B TW I277827 B TWI277827 B TW I277827B TW 093100903 A TW093100903 A TW 093100903A TW 93100903 A TW93100903 A TW 93100903A TW I277827 B TWI277827 B TW I277827B
Authority
TW
Taiwan
Prior art keywords
interference
substrate
function
mask
decomposable
Prior art date
Application number
TW093100903A
Other languages
English (en)
Other versions
TW200502705A (en
Inventor
Robert John Socha
Xuelong Shi
Den Broeke Douglas Van
Jang Fung Chen
Original Assignee
Asml Masktools Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Masktools Bv filed Critical Asml Masktools Bv
Publication of TW200502705A publication Critical patent/TW200502705A/zh
Application granted granted Critical
Publication of TWI277827B publication Critical patent/TWI277827B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Microscoopes, Condenser (AREA)

Description

1277827 明的微影裝置之更多資訊,可以從美國專利申請案第 6’046,792號中收集,該案係以引用的方式併人本文中。 在使用微衫投景》設備的一製程中,一將遮罩圖案成像 至至p刀由—層輻射敏感材料(光阻)所覆蓋的一基板 上。在此影像步驟之前,基板可經受各種程序,例如打 底光阻塗佈及軟烘。曝光之後,該基板可受到其他程 序例如曝光後烘乾(post-exposure bake ; PEB)、顯影、 硬烘及成像特徵之量測/檢查。此程序陣列係用作圖案化 裝置如1C之一個別層的基礎。此圖案化層然後可經受各 種處理,例如飿刻、離子植入(摻雜)、金屬化、氧化、化 子機械拋光等’希望所有處理能完成一個別層。如果需要 數層,則將必須為各新層重複整個程序或其—變化。最 ^ ’在基板(晶圓)上將呈現—裝置陣歹^。然、後該等裝置係 藉^技術(例如切割或鋸開)來彼此分離,接著可以將個 別2置2裝在一載體上,連接至接針等。關於此類處理的 進一步資訊可以從(例如)書籍「微晶片製造··半導體製程 的實用指南」,第三版,由Peter van Zant、MeGraw酬出 版a司於1997年出版,書號為18簡〇_〇7_〇6725〇_4,其係 以引用的方式併入本文中。 為了簡早之目的,投影系統此後可以稱為「透鏡」;此 術語:廣義上解釋為包括各類型的投影系、统,例如包括折 身、、,干系、’先反射光學系統及反折射系統。輻射系統亦可 ^ 、據σ亥等"又5十類型之任一者操作的組件,用以引導、 成形或控制輻射之投影光束,而且此類組件以下也可以統 90716.doc 1277827 稱或單獨稱為一「透鏡」。此外,微影設備可以為具有二 ,多個基板台(及/或二或多個遮罩台)之—類型。:此: 夕級二裝置中,可以並列使用額外台面,或在—或多個 台面上霄行準備步驟,而一或多個其他台面則用於曝光。 雙級微影設備係說明在(例如)美國專利申請案第仍 ,1號及W〇 98/4G791中,其係以引用的方式併入本 文中。 十 上面所指的微影蝕刻遮罩包括對應於整合在—矽晶圓上 =組件之幾何圖案。用以建立此類遮革的圖案係利用 U腦輔助設計)程式產生,此處理通常稱為EDA(電子 ::二動化)。大多數CAD程式遵循一組預定設計規則以 —&遮罩。該等規則係藉由處理及設計限制而加以設 J如11又什規則定義電路裝置(例如閘極、電容器 =間的空間容差或互連線路,以便確保電路裝置或線路彼 乂不合需要的方法互動。設計規則限制-般稱為 「關鍵尺寸」(critlVd γ · 轉馬 斗π、… 1 dlmenS1〇nS; CD)。一電路的關鍵尺 之問=義為一一線路或孔之最小寬度,或者二線路或二孔 、取]、間^。因此,CD決定設計電路的總尺寸及密 度。 以「輔助特徵」可用以改善投影至光阻上的影像 2心的裝置。辅助特徵為以下特徵··其並不希望 射先阻中顯影的圖案中,而係提供在遮罩中,來利 、二9應以便顯影影像更接近類似於所需要的電路圖 案。辅助特徵一补炎「7 a 、又為子解析度」或「深子解析度」,此 90716.doc 1277827 意謂著該等特徵至少在一尺 以分辨的、遮罩中的、杜 …將在曰曰圓上得 皁中的取小特徵。辅助特徵可以具有定#為 關鍵尺寸之小部分 /、百疋義為 丨刀的尺寸。換言之,因為庐I 採用小於1(例如"…/ς、 α為遮罩圖案-般係 上的辅助特徵可以且古士 幻。革而技衫,所以遮罩 寸。 /、有大於晶圓上最小特徵的一實體尺 °、使用—^型的辅助特徵。散射條為具有一子解 析度見度料路,該㈣路係放置於—隔 = 側上,以模型在—圖宰之穷隹抖驻厂士山命奴之或兩 案之*木封裝區中出現的近似效應-。 m為各種形狀之額外區域,該等區域係放置於導體線路 =另角及端部或矩形特徵之拐角,以根據需要而使線路之 柒部或拐角更接近正方形或圓形(注意在此背景中,普遍 稱為「錘頭」的辅助特徵係視為對線之—形式)。關= 射條及對線之使料進—步資訊可以在(例如)美國專利申 請案第5,242,770號及第5,7〇7,765號中找到,其係以引用的 方式併入本文中。 當然,積體電路製造中的目標之一係(經由遮罩)如實地 重製晶圓上的原始電路設計’該設計係採㈣助特徵而加 以改善。該等輔助特徵之放置一般遵循一組預定義規則。 遵循此方法,設計者可決定(例如)如何偏離一線路,而輔 助特徵之放置係依據一組預定規則而決定。當建立該組規 則時,曝光測試遮罩至不同照明設定及NA設定,並且重 複該曝光。根據該組測試遮罩,為辅助特徵放置建立一名 規則。圖11解說一遮罩110, 該遮罩包括要在一基板上形 90716.doc -9- 1277827 表示要在基板上形成的可分解特徵之圖案,及至少一干涉 區域。此干涉區域係與至少二軸形成角度,該等轴具有對 應於要形成的圖案之中心的一原點,並且係與干涉映射之 對應軸平仃。根據此映射,辅助特徵可以放置在對應於干 涉區域的一遮罩區域中。 【實施方式】 在此說明的為—種用於子波長接觸孔遮罩㈣學近似修 正(Optical Pr〇ximity c〇rrecti〇n ; 〇pc)設計方法該遮罩 採用一新賴模型方法來預測辅助特徵(Assist Features ; AF) 之放置。用於-有限照明源的-空間影像可用以決定輔助 特徵之最仏疋位。此影像可以表示要建立的特徵,例如一 接觸孔及干涉區域。在一遮罩中對應於該等干涉區域策略 ^放置輔助特徵,有利於將光強度集中在對應於要建立的 特徵之基板表面上。應注意本發明之方法並不限於形成接 觸孔圖案。 有兩種主要方法可用來計算用於一有限照明源的空間影 像,而且該等方法係揭示在美國專利申請公告案第 20咖152452 A1號中,其係以引用的方式併入此文中。在 此兄月的彳法為霍普金斯⑽奸⑷公式。但是,兩方法 皆需要廣泛的計算。 一 隹曰金斯公式使用一四維透射交又係數(TCC)以 ^ 丁〜像強度。更特定言之,TCC在數學上係由等式1表 不,該等式為照明瞳孔(Js(a,p))乘以投影瞳孔(κ(α,ρ))之 自相關。依撼笠斗、 艨專式1及如圖1所解說,最左邊的圓圈1〇表示 90716.doc • 11 - 1277827 照明瞳孔照明(Js(a,p));中間圓圈12表示處於(_m/PxNA, -n/PyNA)中心的投影瞳孔(Κ(α,β));而最右邊的圓圈14表 示處於(p/PxNA,q/PyNA)中心的投影瞳孔(κ(α,β))。TCC係 由圓圈10、12、14重疊處的區域16所表示。 TCC(m,n,p,q):
Ji ν«:+/?2<σ 等式1 在等式1中’ m、η、ρ及q對應於離散繞射級,即TCC為 一四維函數。X方向上的繞射順序係由m&p所表示,而7方 向上的繞射級係由η及q所表示。 · 依據所揭示的新穎概念,藉由採用已知為單值分解 (Singular vaiue Decomp〇siti〇n ; SVD)(其牽涉到使一 4維矩 陣對角化)的一熟知數學運算,可以將等式丨分解為一組二 個一維函數。根據TCC,特徵向量((DD(m,n)、〇(p,q)之一 矩陣乘以標量,則形成特徵值、。因此,各特徵值^,係 與一對應的所謂右特徵向量φ(ιη,η),及一左特徵向量 〇(P,q)成對,如等式2所示。 TCC(m,n,p,q、= i;ibc^m,ny^b 等式 2 圖2解說特徵值、之―矩陣的—緣製圖,該等數值對應 於似星體形、環形及傳統照明。因為與具有—無限小的半 徑極之-理想照明器相&,照明器具有一有限半徑,所以 導Γ個以上的特徵值。但是,圖2之緣製圖亦解說各照 明^之特徵值係如何以_快速速率而衰落。為了減小等式 、-雜!生及後來的汁异,第一特徵值可以替代π。之 90716.doc -12- 1277827 -良好的近似值。但是,可以使用一個以上的特徵值以獲 得較大的精確度。當考慮多個特徵值^時,相干總和之總 和(Sum of Coherent Sums ; S〇rs、;害笞-r m、 sues)運异可用以計算空間影 像,並且係由圖3之流程加以解說。 圖4(a)至4(d)分別示意性解說似星體形、CqUad、環形及 傳統照明之TCC的繪製圖,該解說僅考慮第一特徵值 採用傳統照明(圖4(d)),在接觸孔41〇之外側具有重要的干 涉。但是,與圖4(a)至4(c)相比,光係主要集中在接觸孔 410上,而清楚干涉區域412則出現在接觸孔4丨〇之外側.。 取決於所使用的照明器,該等干涉區域(亦稱為「旁波 瓣」)針對接觸孔41 0而採取不同形狀及位置。該等干涉區 域對應於所謂的一黑暗場遮罩之可能的破壞性干涉區域, 即其正面干涉表示要建立的特徵之光強度。在圖4(a)中, 旁波瓣412係針對接觸孔41〇而水平及垂直形成。在圖4(b) 中,旁波瓣41 2係針對接觸孔410而以對角線形式形成。在 圖4(0中,旁波瓣412形成圍繞接觸孔410的一圓環圖形 狀。為了增加干涉旁波瓣的正面影響,輔助特徵應對應於 各旁波瓣而放置在一遮罩中,以便將光強度集中在接觸孔 410 上。 為了產生要在一基板上形成的一可分解特徵之一空間影 像’例如一接觸孔,等式2必須與接觸孔及對應的照明器 關聯。一脈衝δ函數可用以表示接觸孔,該接觸孔亦係由 等式3所表示並且由圖5所解說。 907! 6 doc -13 - /v1277827 xa>y~ya) 等式3 為了決定採用(例如)圖4(a)至4(d)之任一照明而由一接觸 孔圖案所引起的干涉,特徵向量φ(%η)之倒轉傅利葉變 換,可與表示接觸孔圖案的脈衝δ函數捲積,如等式4所代 表0 等式4 g(x, y) = FT'7 {Φ(ιη,η)}(2) o(x, y) 但疋,簡化等式4之計算可以藉由首先將脈衝δ函數〇(x,y) 轉換為頻域,該轉換係藉由實行—傅利葉變換,如等心 所表示。K6表示頻域上所得到的表達式。 -im^· -in^3- 0(m?n) = ^e Pxe Py a=/ 等式5 等式6 為了決定一空間影像,必須 換,如望f 4式6採取倒轉傅利葉變 換,如寺式7所示。等式7表 等戎6m -立二間衫像,該影像亦係由 寺式6所不意性解說。 等式7 g(x5y) = FT- {G(m,n)} = ±Σ^η)^^ m=/ η=/ 不同類型的空間影像可用 採取由等式8所表示的等式6之;=徵放置。例如’ 示的倒轉傅利葉變換,可 以及由等式9所表 強度位準之變化& —空間影像,該影像解說 之一二的权度(即斜率),例如圖8所示。解^ 輪度的一空間影像有助於辅助特徵放置。"化 907l6.doc -14- 1277827
F(m, η) = (m,n) fmV 、〔 \ η PyJ 等式8 等式9 一無鉻相位微影(Chromeless Phase Lithography; CPL) 接觸遮罩、高百分比透射衰減相位偏移遮罩(phase Shift Mask ; PSM)及其他類型的PSM具有三種可能的透射狀況 Aa:0(沒有光透射穿過初縮掩膜板)、+1〇〇〇%透射,無相位 偏移)及-1(100%透射,180度相位偏移),當產生一空間影 像時應考慮該等狀況。為了說明特徵透射位準,可藉由添 加反映透射狀況之變數Aa而修改等式3。 等式10 〇CPL (X,y) = D Aa5(X 一 Xa,y 一 ya ) 、因此,決定由等式11所表示的空間影像,係藉由採用等 式10之脈衝函數0CPL(x,y)而捲積特徵向量φ(ιη,n)之倒轉 傅利葉變換。 g(x?y) = Fry{〇(m)n)}(g)〇cpL(x?y) 寺式11 有利的係’藉由最大化脈衝函數位i(xa,ya)處的最小峰 值強度’可以決定各接觸孔處的透射狀況Aa,如等式 示。 max[min{g(Xa,ya)}j應注意以下論述提出採用一 範例’但是應注意在此論述的 類1圖7為一範例性流程圖 等式12 黑暗場遮罩類型的本明之一 新穎概念可用於清晰場遮罩 ,其解說依據一干涉映射之 90716.doc -15- 1277827 產生而應用光學近似修正技術於一遮罩圖案中之方法。還 應注意可以採用許多方法而產生干涉映射,(例如)採用一 傳統空間影像模擬器(例如由MaskT〇〇is有限公司提供的
Lith〇cruiser或遮罩織物模型產品),如共同待審的申請案 (序列號待幻所揭示;或藉由將區域影像在數學上模型為 本申請案。 所揭示的概念可以由—連串的步驟所表示,如圖7之流 程圖所解說。在步驟710中,建立包括至少-接觸孔的-遮罩模型。而且選擇照明器之類型及對應的參數。在步驟 川中,建立-脈衝函數(例如等式3、等式1〇),因此依據 遮罩類型(例如相位偏移遮罩、常規遮罩)藉由-脈衝δ函數 而表不遮罩之各接觸孔。與此同日夺,在步驟川中,根據 照明,投影透鏡模型來產生取(等式ι); *在步驟716 中,错由對角化採用SVD的函數來簡化複雜的丁cc函數, 從而導致特徵向量及特徵值之1數(等式2)。在步驟718 中,藉由採用步驟712中所建立的§函數來替代一特徵向量 而修改簡化的TCC函數(等式4至6)。在步驟72〇中,選擇至 V特徵值以近似TCC。若在步驟722中選擇一個以上的 數值則為各選擇的特徵值計算倒轉傅利葉變換(等式9), 依據SOC(參見圖3)加總計算結果,如步驟724所述。另一 方面’若在步驟726中僅選擇—個特徵值,則為所選擇的 數值計算倒轉傅利葉變換(等式9)。步驟724或726之任-個 的結果表示一空間影像或對應於基板上的照明之干涉映 射。在步驟728中,干涉區域係根據干涉映射來加以識 907l6.doc -16- 1277827 而最佳 別;而在步驟730中,在一遮罩中對應於干涉區域 地定位辅助特徵。 範例 新穎模型方法精確而簡單地預測干涉圖案,以決定策略 性輔助特徵放置。假定七接觸孔之一遮罩、具有O K的數 值孔徑NA之一Cquad照明器、以及具有193 nm的一波長入 之一光源。首先,接觸孔係採用脈衝s函數而取代,如等 式2所表示及圖5所示意性解說。 當與用於Cquad照明的特徵向量φ(Ιη,η)捲積時,便導致 圖6之空間影像。在此顯示旁波瓣干涉之多重區域的。因 此’可以在—遮罩中對應於該等干涉區域而定位辅助特 徵’以便限制旁波瓣印刷。 圖9解說依據新穎影像方法及在此說明的範例而建立的 一遮罩。遮罩90包括可分解特徵92(例如接觸孔92),以及 對應於由圖6之空間影像所解說的干涉區域6〇而定位之複 數個輔助特徵94。 圖1〇解說採用一似星體形照明器的七接觸孔圖案之一空 間影像。與圖6及圖_匕’將預期Cquacm明與似星體形 照明之間的干涉區域不同。而且與似星體形照明相比, Cquad照明產生—較高光強度。因此,採用所假定的參 數,Cquad照明之使用可產生較好結果。 以上說明的模型方法之優點為,無需為一遮罩設計而永 久地測試並且分析複數個輔助特徵放置。模型方法可預測 將依據-特定照明器而出現的干涉或旁波瓣之類型。因 90716 doc -17- 1277827 此’可以最佳而策略性放置輔助特徵。 圖u示意性描述適用於借助於本發明所設計的一遮罩之 —微影投影設備。該設備包括: 幸田射系統Ex、IL,用以供應一輻射投影光束pB。在 斗寸疋清况下,輕射糸統亦包括一輻射源l a ; 一第一物件台(遮罩台)MT,其具有一遮罩支架用以支 撐一遮罩MA(例如一初縮掩膜板),並且與第一定位構件連 接以針對項目PL而精確地定位遮罩; 一第二物件台(基板台)WT,其具有一基板支架用以-支 撐一基板W(例如一光阻塗佈矽晶圓),並且與第二定位構 件連接以針對項目PL而精確地定位基板; '一投影系統(「透鏡」)PL(例如一折射、反射或反折射 光子;r、、洗),其係用以將遮罩MA之一照射部分影像至基板 W之一目標部分C(例如包括一或多個晶粒)。 如在此所為述,5玄5又備為一透射類型(即具有一透射遮 罩)。但是一般而言,該設備亦可為(例如具有一反射遮罩 的)反射類型。或者,該設備可以使用另一種圖案化構 件來代用-遮罩,其範例包括—可程式化鏡陣列或lcd矩 陣。 輻射源LA(例如一水銀燈或一同核複合分子雷射)產生一 輻射光束。此光束係直接或在穿過調節構件之後供入一照 明系統(照明器)IL,(例如)一光束擴展器Εχ。照明器虬可 以包括調整構件ΑΜ 1以設定光束t的強度分配之外側 及/或内側光線範圍(通常分別稱為σ外側光線及〇内側光 907l6.doc -18- 1277827 線)。此外,該照明器一般將包括各種其他組件,例如一 積分器IN及一聚光器C〇。採用此方法,撞擊在遮罩%八上 的光束PB在其斷面上具有一所需均勻度及強度分配。 應注意在圖11中,輻射源LA可以在微影投影設備之外殼 内(當輻射源LA為(例如)一水銀燈時,此為常見情況),但 是該源亦可以遠離微影投影設備,其產生的輻射光束係導 入設備中(例如借助於合適的引導鏡);當輻射源乙八為一同 核複合分子雷射時(例如基於KrF、八斤或匕發射雷射),此 後者情形為常見情況。本發明包括該等情形之至少兩個。 光束PB後來截取一遮罩台MT上所支撐的遮罩“八。已穿 過光罩MA之後,光束PB穿過透鏡PL ,該透鏡將光束?6聚 焦在基板W之一目標部分c上。借助於第二定位構件(及干 涉量測構件IF),可以精確地移動基板台WT,(例如)以便 將不同目標部分C定位在光束pb之路徑中。同樣,第一定 位構件可用以針對光束PB之路徑而精確地定位遮罩MA, 例如在從一遮罩庫機械地取回遮罩MA之後或在一掃描期 間。一般而言,將借助於一長衝程模組(粗略定位)及一短 衝程模組(精確定位)來實現物件台MT、WT之移動,該等 ,組並未在圖llf明確地加以描述。但是,在_晶圓步進 器(與一步進及掃描工具相對立)之情況下,遮罩台“丁可僅 與一短衝程驅動器連接,或者可以固定。 所描述的工具可用於二不同模式: -在步進模式中,遮罩台MT實質上係保持固定,而一 整個遮罩影像係在_次操作(即—單_「快閃」)中投影至 907l6.doc -19- 1277827 =標部分c上。然後基板台WT係在x及/或y方向偏移, 稭由光束PB可以照射一不同目標部分c ; -在掃描模式中,實質上施加相同的情形,_ — 部分C並未在-單-「快閃」中曝光之情況則二目:: 反’遮罩台MT可在-給定方向(所謂的「掃財向」,例 如y方向)上以一速度v移動,以便引起投影光束在—遮 罩影像上掃描’·同時,基板台wt係在相同或相反方向上 2 —速度同時移動,其中M為透鏡pL之放大倍率(通 常’从=1/4或1/5)。採用此方式,可以曝光—相對較大^ 標部分C,而不必影響解析度。 在此所揭示的概念可以模型或在數學上模型任一 一般性 影像系統,以影像子波長特徵,而且尤其可用於顯現=產 生一愈小尺寸的波長之影像技術。已使用的顯現技術包括 EUV(遠紫外線)微影,該微影能採用一 ArF雷射而產生一 193 nm的波長,並甚至採用一氟雷射而產生一 157的波 長。此外,藉由採用一同步加速器或藉由採用高能量電子 來撞擊一材料(固體材料或一電漿),EUV微影能產生具有 2〇 nm至5 nm的一範圍之波長,以便在此範圍内產生光 子。因為大多數材料在此範圍内具有吸收性,所以藉由具 有钥及矽的一多重堆疊之反射鏡可以產生照明。多重堆疊 鏡具有钥及;5夕之一 40層對,其中各層之厚度為一四分之一 波長。採用X射線微影,可以產生更小的波長。通常一同 步加速器係用以產生一 X射線波長。因為大多數材料在X射 線波長下具有吸收性,一薄塊吸收材料定義何處將印刷 90716.doc -20- 1277827 (正光阻)或不印刷(負光阻)特徵。 雖然在此揭示的概冬旦 心了用以衫像於一基板(例如一矽晶 圓)上,但是應瞭解所描- 旦 不的概心可用於任一類型的微影 衫像系統中,例如田 衫像於基板上而非矽晶圓上的微影 影像系統。 包括可執行代碼的一 ^ 一 %細不統之軟體功能性包括程式
、可用以貝施以上說明的影像模型。軟體代碼可以藉由 通用電腦而執行。力^品从I / 木乍中,代碼及可能的相關資料記錄 儲存在—通用電腦平台内。但是在另外場合,軟體可.以 :存在其他位置處及/或傳輸以載人適當的通用電腦系統 /因此’以上論述的具體實施例包括㈣-或多個代碼 拉,形式之-或多個軟體產品,該等代碼模組係由至少— 機益可讀取媒體承載。藉由電腦系統之-處理H而執行此 ^馬;使平台能實施目錄及/或軟體下載功能,該實施實 質上係採用在此論述並解說的具體實施例中所實行的方 式0 如在此所使用,例如電腦或機器「可讀取媒體」之術語 係指參與提供指令至—處理器以執行指令的任—媒體。此 媒η可以採取許多形式’包括(但不限於)非揮發性媒體, 揮發性媒體及透射媒體。非揮發性媒體包括(例如)光碟或 磁碟’例如作為上述㈣器平台之-操作的任何電腦中之 任何儲存裝置。揮發性媒體包括動態記憶體,例如此電腦 平台之主要記憶體。實體透射媒體包括同軸電纜,銅線路 及光纖,包括含有一電腦系統内的一匯流排之線路。载波 9〇716.doc -21 - 1277827 透射媒體可以採取以下形式:電信號或電磁信號,或者聲 干或光波(例如在射頻(radio freqUency ; RF)期間產生的光 波)以及紅外線(infrared ; IR)資料通信。電腦可讀取媒體 之通用形式因此包括,例如:一軟碟、—柔性碟、硬碟、 磁帶、任一其他磁性媒體、一 CD_R〇M、DVD、任一其他 光學媒體、次通㈣體(例如打卡機)、紙帶、具有孔圖案 的任其他貫體媒體、一 RAM、一 PROM及EPORM,一快 門EPROM、任-其他§己憶體晶片或盒、—載波傳輸資料或 指令、傳輸此載波的電纜或連結,或一電腦可以從中貧取 可耘式化代碼及/或貢料的任一其他媒體。許多該等形式 的電腦可讀取媒體可以包括承載_或多個序列的—或多個 指令至一處理器以執行指令。 雖然已詳細說明並解說本發明,但是應清楚地瞭解本發 明係僅藉由解說及範例而非限制,本發明之範疇係僅藉由 所附的申請專利範圍之條款而限制。 參考附圖,從本發明之以上詳細說明,將更加瞭解本發 明之上述及其他特徵、方面及優點。 【圖式簡單說明】 圖1為用於一通用影像系統的一範例性透射交又係數 (TCC)函數之一圖式。 圖2為採用不同類型的照明所用的單—數值分解而對角 化TCC後的特徵值之一緣製圖。 圖3為相干總和之總和的一表示。 圖(a)至4(d)分別為似星體形、c㈣d、環形及傳統照明 90716.doc -22- 1277827 器之第一 TCC特徵函數的照明 ^ 不忍性表示。 圖5為等式}之一示意性表示,其中要建 觸孔係採用脈衝δ函數而取代。 ㈢杗之接 圖6為對應於由圖4(b)及圖5 -„ ω + ^胛况者之捲積之等式5的一 不思性表示。 圖7解說在此揭示的新穎模型方法之一流程圖。 圖8解說對應於干涉函數之第二導數的一照明。 圖9解5兒對應於圖6之照明的辅助特徵之最佳放置。 圖10採用圖4(a)之似星體形照明器而解說等式5及對應-的 照明強度之一示意性表示。 圖11示意性描述適用於借助於本發明所設計的一遮罩之 一微影投影設備。 圖12解說依據一預定組的規則之輔助特徵放置。 【圖式代表符號說明】 12 圓圈 14 圓圈 16 區域 20 第一特徵值 60 干涉區域 90 遮罩 92 接觸孔 94 辅助特徵 110 遮罩 112 可分解特徵 907 丨 6 doc -23 - 1277827 114 輔助特徵 410 接觸孔 412 干涉區域/旁波瓣 AM 調整構件 C 目標部分 CO 聚光器 Ex 光束擴展器 IF 干涉量測構件 IL 照明器 IN 積分器 LA 輻射來源 MA 遮罩 MT 遮罩台 PB 投影光束 PL 透鏡/項目 W 基板 WT 基板台 907l6.doc -24

Claims (1)

1277^31〇〇9〇3號專利申請案 申請專利範圍替換本(95年11月) · 拾、申請專利範園: • 種用以在一基板之一表面上所形成的一圖案最佳化 一照明輪廓之方法,該方法包括以下步驟: 定義一透射交又係數(TCC)函數,該函數係依據一照 明瞳孔及對應於一照明器的一投影瞳孔而決定; 精由至少一脈衝函數,表示要在該基板上印刷的一 遮罩之至少一可分解特徵;以及 根據該至少一脈衝函數及該TCC函數,建立一預定 順序的'干涉映射,其中該干涉映射表示要在該基板 上印刷的該至少一可分解特徵及破壞性干涉之區域。 2·如申請專利範圍第W之最佳化一照明輪廓之方法,在 該遮罩中對應於破壞性干涉映射之該等區域放置一輔 助特徵。 3·如申請專利範圍第2項之最佳化一照 中該辅助特徵為非可分解性。 4. 如申請專利範圍第i項之最佳化一照明輪廊之方法, 中该干涉映射模型入射在該基板上的光強度。 5. 如申請專利範圍第4項之最佳化—照明輪廓又之方法, 進括在該遮草之對應於該干涉映射上-區域 壞性干、牛之二至少—輔助特徵,該映射具有對應於. 二生干涉之該等區域的一預定位準之_。 6·如申請專利範圍第$ 中該預定位準對;s / J⑽ < 方法’: T應於一可分解光強度。 申月專利视圍第!項之最佳化一照明輪廓之方法,」: 90716-951117.doc 1277827 中该干涉映射表示入射在該基板上的光強度上的變 化0 8. 種用以在一基板之一表面上所形成的可分解特徵之 一圖案最佳化一照明輪廓之方法,該方法包括以下步 驟: 建立具有至少二軸的一笛卡兒座標干涉映射,該建 立係依據表示要在該基板上形成的可分解特徵之該圖 案的一脈衝函數及-透射交又係數函數,該干涉映射 表示要形成的可分解特徵之該圖案以及至少一干涉區 域’其中該至少-干涉區域係與在要形成的該圖案之 中心處具有其原點的至少二軸成角冑,並且與該干涉 映射之該等至少二軸平行; 根據該映射’在該遮罩之對應於該至少一干涉區域 的一區域中放置一辅助特徵。 9. 如申請專利範圍第2項之最佳化一照明輪廓之方法,其 中該輔助特徵為非可分解性。 10· -種程式產品,其包括可藉由至少—機器可讀取媒體 而傳輸的可執行代碼,其中藉由至少一可程式化電腦 而執行該代碼引起該至少一可程式化電腦實行一序列 V驟以最佳化要在一基板之一表面上形成的一圖案 之一照明輪廓,該等步驟包括: 定義一透射交叉係數(TCC)函數,該函數係依據一照 明瞳孔及對應於一照明器的一投影瞳孔而決定; 藉由至少一脈衝函數,表示要在該基板上印刷的一 90716-951117.doc 1277827 遮罩之至少一可分解特徵;以及 11 12 13. 14. 15. 16. 根據該至少一脈衝函數及該TCC函數,產生一預定 順序的-干涉映射,其中該干涉映射表示要在該基板 上印刷的該至少一可分解特徵及破壞性干涉之區域。 如申請專利範圍第10項之程式產品,其在該遮罩中對 應於由該干涉映射所表示的破壞性干涉之該等區域定 義辅助特徵放置。 一種影像子波長接觸孔之方法,該方法包括以下步 驟: 定義一透射交又係數(TCC)函數,該函數係依據一照 明瞳孔及對應於一照明器的一投影瞳孔而決定; 藉由至少一脈衝函數,表示要在一基板上印刷的一 遮罩之至少一接觸孔;以及 根據該至少一脈衝函數及該TCC函數,建立一預定 順序m映射’丨中該干涉映射表示要在該基板 上印刷的該至少一接觸孔及破壞性干涉之區域。 如申請專利範圍第12項之方法,在該遮罩中對應於破 壞性干涉映射之該等區域放置一輔助特徵。 如申請專利範圍第13項之方法,其中該辅助特徵為非 可分解性。 如申請專利範圍第12項之方法,其中該干涉映射模型 入射在該基板上的光強度。 如申請專利範圍第15項之方法’其進一步包括在該遮 罩之對應於該干涉映射上一區域的一區域上放置至少 90716-951117.doc 1277827 於破壞性干涉之該等區 ,其中該預定位準對應 ’其中該干涉映射代表 17. 18. 一辅助特徵,該映射具有對應 域的一預定位準之一光強度f 如申請專利範圍第15項之方法 於一可分解光強度。 如申請專利範圍第I2項之方法 入射在該基板上的光強度上的變化。 90716-951117.doc 1277827 第093100903號專利申請案 中文圖式替換頁(95年11月) 特徵値 οοορρρρρρ I Γ _.κ·… m· Μ骧 0-^-*00ω ίο M iK^st^/cquad « 00 翼1¾隱_ 90716-951117 2- 1277827 第093100903號專利申請案 中文圖式替換頁(95年11月)
90716-951117 -11 -
TW093100903A 2003-01-14 2004-01-14 Method of optical proximity correction design for contact hole mask TWI277827B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US43980803P 2003-01-14 2003-01-14
US53065603P 2003-12-19 2003-12-19

Publications (2)

Publication Number Publication Date
TW200502705A TW200502705A (en) 2005-01-16
TWI277827B true TWI277827B (en) 2007-04-01

Family

ID=33422985

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093100903A TWI277827B (en) 2003-01-14 2004-01-14 Method of optical proximity correction design for contact hole mask

Country Status (7)

Country Link
US (1) US7594199B2 (zh)
EP (1) EP1439420A1 (zh)
JP (1) JP3992688B2 (zh)
KR (1) KR100719154B1 (zh)
CN (1) CN1573554A (zh)
SG (1) SG139530A1 (zh)
TW (1) TWI277827B (zh)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7480889B2 (en) * 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
SG144723A1 (en) * 2003-06-30 2008-08-28 Asml Masktools Bv A method, program product and apparatus for generating assist features utilizing an image field map
KR100927454B1 (ko) 2003-10-31 2009-11-19 에이에스엠엘 마스크툴즈 비.브이. 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
JP4524174B2 (ja) 2003-11-05 2010-08-11 エーエスエムエル マスクツールズ ビー.ブイ. 固有分解に基づくopcモデル
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7506299B2 (en) 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography
WO2005106593A2 (en) * 2004-04-14 2005-11-10 Litel Instruments Method and apparatus for measurement of exit pupil transmittance
US6977715B2 (en) * 2004-05-19 2005-12-20 Nanya Technology Corp. Method for optimizing NILS of exposed lines
US7620930B2 (en) 2004-08-24 2009-11-17 Asml Masktools B.V. Method, program product and apparatus for model based scattering bar placement for enhanced depth of focus in quarter-wavelength lithography
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7271907B2 (en) * 2004-12-23 2007-09-18 Asml Netherlands B.V. Lithographic apparatus with two-dimensional alignment measurement arrangement and two-dimensional alignment measurement method
US7509621B2 (en) 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
EP1696273B1 (en) 2005-02-23 2008-08-06 ASML MaskTools B.V. Method and apparatus for optimising illumination for full-chip layer
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317506B2 (en) * 2005-03-29 2008-01-08 Asml Netherlands B.V. Variable illumination source
US7424699B2 (en) * 2005-06-10 2008-09-09 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
WO2007018464A2 (en) * 2005-08-08 2007-02-15 Micronic Laser Systems Ab Method and apparatus for projection printing
US20070048669A1 (en) * 2005-08-26 2007-03-01 Te-Hung Wu Method of forming the photo resist feature
US20070046917A1 (en) 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
EP1925020A4 (en) * 2005-09-13 2014-01-01 Luminescent Technologies Inc SYSTEMS, MASKS AND METHODS FOR PHOTOLITHOGRAPHY
JP4784220B2 (ja) * 2005-09-14 2011-10-05 凸版印刷株式会社 位相シフトマスク
US7921385B2 (en) 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
US7788627B2 (en) 2005-10-03 2010-08-31 Luminescent Technologies, Inc. Lithography verification using guard bands
US7793253B2 (en) 2005-10-04 2010-09-07 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
US7703049B2 (en) 2005-10-06 2010-04-20 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US7493589B2 (en) 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
WO2007096195A1 (en) * 2006-02-24 2007-08-30 Micronic Laser Systems Ab Slm lithography: printing to below k1=.30 without previous opc processing
JP5235322B2 (ja) * 2006-07-12 2013-07-10 キヤノン株式会社 原版データ作成方法及び原版データ作成プログラム
JP2008076683A (ja) 2006-09-20 2008-04-03 Canon Inc 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
JP4804294B2 (ja) 2006-09-20 2011-11-02 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
US7617475B2 (en) 2006-11-13 2009-11-10 United Microelectronics Corp. Method of manufacturing photomask and method of repairing optical proximity correction
US7882480B2 (en) * 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
KR101096145B1 (ko) * 2007-06-04 2011-12-19 에이에스엠엘 네델란즈 비.브이. 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
JP4484909B2 (ja) 2007-07-24 2010-06-16 キヤノン株式会社 原版データ作成方法、原版作成方法、露光方法および原版データ作成プログラム
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US8028252B2 (en) * 2007-09-14 2011-09-27 Luminescent Technologies Inc. Technique for determining mask patterns and write patterns
EP2040120B1 (en) 2007-09-19 2011-03-02 Canon Kabushiki Kaisha Mask data generation method, mask fabrication method, exposure method, device fabrication method, and program
JP2009093138A (ja) * 2007-09-19 2009-04-30 Canon Inc 原版データの生成方法、原版作成方法、露光方法、デバイス製造方法及び原版データを作成するためのプログラム
JP4402145B2 (ja) 2007-10-03 2010-01-20 キヤノン株式会社 算出方法、生成方法、プログラム、露光方法及び原版作成方法
JP2009194107A (ja) * 2008-02-13 2009-08-27 Canon Inc 有効光源形状のデータベースの生成方法、光学像の算出方法、プログラム、露光方法及びデバイス製造方法
JP5106220B2 (ja) 2008-04-10 2012-12-26 キヤノン株式会社 原版データ生成プログラム、原版データ生成方法、照明条件決定プログラム、照明条件決定方法およびデバイス製造方法
JP5300354B2 (ja) 2008-07-11 2013-09-25 キヤノン株式会社 生成方法、原版作成方法、露光方法、デバイス製造方法及びプログラム
JP5086926B2 (ja) * 2008-07-15 2012-11-28 キヤノン株式会社 算出方法、プログラム及び露光方法
JP5159501B2 (ja) * 2008-08-06 2013-03-06 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイス製造方法
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP2010165856A (ja) 2009-01-15 2010-07-29 Canon Inc 決定方法、露光方法、デバイスの製造方法及びプログラム
JP5607348B2 (ja) 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
CN101957555B (zh) * 2009-07-16 2012-10-03 中芯国际集成电路制造(上海)有限公司 掩模版图修正方法、掩模版制作方法和光学邻近校正方法
JP2011028098A (ja) * 2009-07-28 2011-02-10 Toshiba Corp パターン評価方法、パターン作成方法およびパターン評価プログラム
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
JP2011059513A (ja) * 2009-09-11 2011-03-24 Toshiba Corp パターン作成方法、マスクの製造方法および半導体デバイスの製造方法
JP5185235B2 (ja) * 2009-09-18 2013-04-17 株式会社東芝 フォトマスクの設計方法およびフォトマスクの設計プログラム
JP4921536B2 (ja) * 2009-10-26 2012-04-25 キヤノン株式会社 プログラム及び算出方法
US8551283B2 (en) 2010-02-02 2013-10-08 Apple Inc. Offset control for assembling an electronic device housing
JP5279745B2 (ja) 2010-02-24 2013-09-04 株式会社東芝 マスクレイアウト作成方法、マスクレイアウト作成装置、リソグラフィ用マスクの製造方法、半導体装置の製造方法、およびコンピュータが実行可能なプログラム
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
CN102193306B (zh) * 2010-03-11 2012-09-05 中芯国际集成电路制造(上海)有限公司 设计光掩膜版的方法
JP5450262B2 (ja) 2010-05-28 2014-03-26 株式会社東芝 補助パターン配置方法、プログラムおよびデバイス製造方法
JP5603685B2 (ja) 2010-07-08 2014-10-08 キヤノン株式会社 生成方法、作成方法、露光方法、デバイスの製造方法及びプログラム
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
JP5811491B2 (ja) * 2011-04-12 2015-11-11 株式会社ニコン 顕微鏡及びそのプログラム
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8846273B2 (en) 2012-06-04 2014-09-30 Micron Technology, Inc. Photomasks, methods of forming a photomask, and methods of photolithographically patterning a substrate
WO2014192518A1 (ja) 2013-05-27 2014-12-04 インターナショナル・ビジネス・マシーンズ・コーポレーション 露光用光源およびマスクの設計方法、プログラム
JP6192372B2 (ja) * 2013-06-11 2017-09-06 キヤノン株式会社 マスクパターンの作成方法、プログラムおよび情報処理装置
JP6238687B2 (ja) * 2013-11-12 2017-11-29 キヤノン株式会社 マスクパターン作成方法、光学像の計算方法
KR102335186B1 (ko) * 2014-12-24 2021-12-03 삼성전자주식회사 렌즈 조립체, 이를 이용한 장애물 감지유닛, 및 이를 구비한 이동로봇
CN205556762U (zh) * 2016-05-05 2016-09-07 鄂尔多斯市源盛光电有限责任公司 掩膜板、母板、掩膜板制造设备和显示基板蒸镀***
CN108153115A (zh) * 2017-12-19 2018-06-12 上海集成电路研发中心有限公司 极紫外光刻掩模、其制作方法及生成掩模图案的方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04216548A (ja) 1990-12-18 1992-08-06 Mitsubishi Electric Corp フォトマスク
US5242770A (en) * 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
US5446521A (en) * 1993-06-30 1995-08-29 Intel Corporation Phase-shifted opaquing ring
KR960002536A (zh) * 1994-06-29 1996-01-26
US5532090A (en) * 1995-03-01 1996-07-02 Intel Corporation Method and apparatus for enhanced contact and via lithography
US5682323A (en) * 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5595843A (en) * 1995-03-30 1997-01-21 Intel Corporation Layout methodology, mask set, and patterning method for phase-shifting lithography
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
WO1997033205A1 (en) * 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US5707765A (en) * 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
DE69735016T2 (de) * 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
USRE40043E1 (en) 1997-03-10 2008-02-05 Asml Netherlands B.V. Positioning device having two object holders
US6223139B1 (en) 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
JP3275863B2 (ja) 1999-01-08 2002-04-22 日本電気株式会社 フォトマスク
US6263299B1 (en) * 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US6214497B1 (en) * 1999-06-29 2001-04-10 Micron Technology, Inc. Method to eliminate side lobe printing of attenuated phase shift masks
EP1269266B1 (en) * 2000-02-14 2006-11-29 ASML MaskTools B.V. A method of improving photomask geometry
US6303253B1 (en) 2000-03-16 2001-10-16 International Business Machines Corporation Hierarchy and domain-balancing method and algorithm for serif mask design in microlithography
US6503666B1 (en) 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
US6777141B2 (en) 2000-07-05 2004-08-17 Numerical Technologies, Inc. Phase shift mask including sub-resolution assist features for isolated spaces
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
TW479157B (en) * 2000-07-21 2002-03-11 Asm Lithography Bv Mask for use in a lithographic projection apparatus and method of making the same
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6901575B2 (en) 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
US6519760B2 (en) 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
JP4266082B2 (ja) * 2001-04-26 2009-05-20 株式会社東芝 露光用マスクパターンの検査方法
US7030997B2 (en) * 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
JP3592666B2 (ja) * 2001-12-04 2004-11-24 株式会社東芝 露光用マスクパターンの補正方法、プログラム、マスクパターン形成方法、及び半導体装置の製造方法
US6749970B2 (en) 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
US7023528B2 (en) 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
US6807662B2 (en) 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
US7266480B2 (en) 2002-10-01 2007-09-04 The Regents Of The University Of California Rapid scattering simulation of objects in imaging using edge domain decomposition

Also Published As

Publication number Publication date
JP2004221594A (ja) 2004-08-05
US7594199B2 (en) 2009-09-22
JP3992688B2 (ja) 2007-10-17
EP1439420A1 (en) 2004-07-21
KR100719154B1 (ko) 2007-05-17
CN1573554A (zh) 2005-02-02
KR20040065185A (ko) 2004-07-21
SG139530A1 (en) 2008-02-29
US20040229133A1 (en) 2004-11-18
TW200502705A (en) 2005-01-16

Similar Documents

Publication Publication Date Title
TWI277827B (en) Method of optical proximity correction design for contact hole mask
TWI757950B (zh) 用於圖案化裝置之判定曲線圖案之方法
TWI334511B (en) Source and mask optimization
TWI284786B (en) Method and apparatus for performing model-based layout conversion for use with dipole illumination
TWI519901B (zh) 用於三維抗蝕分佈模擬之微影模型
KR100865768B1 (ko) 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치
TWI377438B (en) Feature optimization using enhanced interference mapping lithography
JP2022518156A (ja) レイアウトパターン選択の方法及び装置
TWI510867B (zh) 微影系統中閃光效應之修正
TW201027272A (en) Fast freeform source and mask co-optimization method
TW200525178A (en) A method, program product and apparatus of simultaneous optimization for NA-sigma exposure settings and scattering bars OPC using a device layout
JP2011186457A (ja) マスクを表す機能と予め規定された光学条件との相関関係に基づくリソグラフィ結像における設計ルールの最適化
TW201939157A (zh) 二值化方法及自由形式光罩最佳化流程
TW201706724A (zh) 著色感知最佳化
TWI718017B (zh) 繞射圖案導引之源光罩最佳化的方法及裝置
TW202036169A (zh) 藉由源及遮罩最佳化以建立理想源光譜的方法
TW202210954A (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
TWI672556B (zh) 判定輻射之散射的方法及電腦程式產品
KR102646683B1 (ko) 고 개구수 스루-슬릿 소스 마스크 최적화 방법
TW201841073A (zh) 藉由於圖案化裝置上之有限厚度結構來判定輻射散射的方法
TW202338489A (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
TW202127147A (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees