TW530523B - Method and apparatus for controlling the volume of a plasma - Google Patents

Method and apparatus for controlling the volume of a plasma Download PDF

Info

Publication number
TW530523B
TW530523B TW089124198A TW89124198A TW530523B TW 530523 B TW530523 B TW 530523B TW 089124198 A TW089124198 A TW 089124198A TW 89124198 A TW89124198 A TW 89124198A TW 530523 B TW530523 B TW 530523B
Authority
TW
Taiwan
Prior art keywords
magnetic
plasma
magnetic field
processing chamber
patent application
Prior art date
Application number
TW089124198A
Other languages
English (en)
Inventor
Andrew D Bailey Iii
Alan M Schoepp
Nicolas Bright
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW530523B publication Critical patent/TW530523B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Description

530523 A7 ------ -B7__ 五、發明說明(1 ) 相___關申請案交百參考 本申請案與以下同時提出申請之美國專利有關: 申請案:0 9/ 439, 661,名稱爲 (請^閱讀背歃之注意事^?^寫本頁) NV improved PLASMA PROCESSING SYSTEMS AND METHODS THEREFOR"。 申請案:09/47〇,236,名稱爲 '、PLASMA PROCESSING SYSTEMS WITH DYNAMIC GAS DISTRIBUTION CONTROL "。 申請案:09/439, 675,名稱爲
"TEMPERATURE CONTROL SYSTEM FOR PLASMA PROCESSING SYSTEMS APPARATUS "。 申請案:09/440, 418,名稱爲 、、METHODS AND APPARATUS FOR PRODUCING UNIFORM PROCESS RATES 〃 。 申請案:09/44〇,794,名稱爲 、、MATERIALS AND GAS CHEMISTRIES FOR PLASMA PROCESSING SYSTEMS 〃 。 上述每一件專利申請案皆倂入本文參考。 經濟部智慧財產局員工消費合作社印製 發明背景 本發明與處理基底的裝置及方.法有關,例如用於製造 I C的半導體基底,或平面顯示器所使用的玻璃面板。更 明確地說,本發明與控制電漿處理室內的電漿有關。 電漿處理系統已出現一段時間。多年來,電漿處理系 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) -4- 530523 A7 B7 五、發明說明(2 ) 統是利用電感耦合電漿源、電子迴旋磁力加速器共振( E C R )源、電容源或類似物的電漿處理系統來處理半導 體基底及玻璃面板。 在處理期間,典型上會使用到多次沈積及/或蝕刻步 驟。在沈積期間,材料沈積到基底表面(如玻璃面板或晶 圓)。例如,沈積層例如是成形在基底表面的二氧化矽。 反之,也可用來蝕刻,將基底表面上預先定義之區域上的 材料選擇性地去除。例如,蝕刻的特徵諸如成形在基底各 層中的孔道、接點、溝槽等。 一種特殊的電漿處理法是使用電感源產生電漿。圖1 說明習知技術的電感電漿處理反應器1 0 0,它用於電漿 處理。典型的電感電漿處理反應器包括一處理室1 0 2, 在介電窗口 1 0 6的上方配置有天線或感應線圏1 0 4。 典型上,天線1 0 4耦合到第一 R F電源1 0 8。此外, 在處理室1 0 2內配置一進氣孔1 1 0 ,用來將氣體的源 材料(例如蝕劑的源氣體)釋入介電窗口 1 0 6與基底 1 1 2間的RF -感應電漿區。基底1 1 2被送入處理室 1 0 2中並放置在卡盤1 1 4上,卡盤通常做爲底電極, 並與第二r F電源1 1 6耦合操作。 爲產生電漿,處理氣體經由進氣孔1 1 〇輸入到處理 室102。接著,使用第一 RF電源108供應電力給感 應線圈1 0 4。所供應的R F能量通過介電窗口 1 〇 6, 並在處理室1 0 2內感應出大電場。電場加速存在於處理 室內的少量的電子,致使它們撞擊處理氣體的氣體分子。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項 裝--------'訂--------- 再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -5 - 530523 A7 五、發明說明(3 ) 這些撞擊造成離子化,並起始放電或電漿· 1 f 8。如習知 技術,當處理氣體的中性氣體分子在這些強電場中失去電 子時,留下帶正電的離子。結果,電漿118中包含帶正 電的離子、帶負電的電子及中性氣體分子(及/或原子) 經濟部智慧財產局員工消費合作社印製 電漿一旦形成,電漿內的中性氣體分子傾向 基底表面。例如,使中性氣體分子出現在基底的 是擴散(即,處理室內分子的隨機移動)。因此 ,沿著著基底1 1 2的表面可以發現中性物種( 體分子)的層。當底電極1 1 4被供電時,離子 朝向基底,與中性物種結合,開始蝕刻反應。 電漿1 1 8主要是停留在處理室的上部區域 用區),不過,部分的電漿也傾向充滿整個處理 上,電漿會到達能維持它的區域,幾乎是處理.室 位置。例如,電漿可以充滿基底下方的區域,如 的風箱(例如非-作用區)。如果電漿到達這些 些區域中也會發生蝕刻、沈積及/·或腐蝕,即可 理室內被蝕刻之區域或沈積材料的薄片所產生的 。因此,會縮短處理室零件的壽命。 此外,不受限制的電漿傾向形成不均勻的電 導致處理性能的變異,即蝕刻的均勻性、蝕刻的 、蝕刻剖面、微一負載、選擇性等。結果,積體 鍵尺寸極難控制。此外,處理性能的變異可能導 電路內的元件故障,典型上會使得製造成本升高。 直接朝向 機制之一* ,典型上 如中性氣 傾向加速 (例如作 室。典型 內的任何 抽氣配置 區域,這 能導致處 顆粒污染 漿,可能 整體速率 電路的關 致半導體 請 先 閱、 讀 背 面 之一 注 意 事 項
訂 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) 6 - 530523 A7 — ___B7___ 五、發明說明(4 ) 控制電漿的標準方法是在電漿反應器的內部提供一電 漿屏。電漿屏通常是將電漿侷限在處理室及電漿屏所定義 的體積內。在大多數的情況,電漿屏也包括複數個開孔, 以允許處理期間所形成的副產氣體通過,以到達電漿反應 器的排氣口。 現請參閱圖1及2的電漿屏2 0 2連同電漿處理室 1〇0。典型上,電漿屏2 0 2被架構成塡滿處理室壁 1 2 0之內緣與靜電卡盤1 1 4之外緣間的間隙。此外, 電漿屏2 0 2典型上包括複數個貫穿孔2 0 4,其所設定 的尺寸允許處理期間所形成的副產氣體通過,以便從排氣 孔1 2 2排出。同時,貫穿孔2 0 4的尺寸也能將電漿限 制在處理室1 0 2所定義的體積內。貫穿孔的式樣通常是 圓形、狹縫、同心圓及或類似物。此外,電漿屏典型上是 附接在(例如鎖在)處理室中固定的位置。 經濟部智慧財產局員工消費合作社印製 (請t閱讀背歃之注意事寫本頁) 不過,電漿屏有某些缺點。典型上,在處理期間,其 結構配置在處理室的內部,傾向會造成基底污染。這是因 爲此結構存在有供物質附著的位置或表面,例如蝕刻的副 產物及沈積,會有薄片剝落到基底上造成顆粒污染。顆粒 污染可能產生不欲見及/或無法預期的結果。例如,基底 表面上的顆粒可能會遮蓋住基底需要蝕刻的部分。若是如 此,溝結構可能無法正確地形成,此可能導致元件故障, 因而使生產力下降。此外,在處理期間必須淸理電獎屏, 以防止沈積物及鈾刻的副產物超量累積。淸潔的缺點是會* 降低基底的產出,典型上會因產品的損失而使成本增加。
530523 經濟部智慧財產局員工消費合作社印製 A7 37 五、發明說明(5 ) 此外,電漿屏會減少副產氣體的流通路徑。例如,電 漿屏典型上會使副產氣體的通路減少3 0 %到6 0 %。此 傾向增加對抽氣配置的需求。易言之,需要使用較大型的 渦輪-分子邦浦,方能有效地經由被縮減的通路移除副產 氣體,並保持所需要的處理室壓力。 此外,在處理期間,貫穿孔可能被阻塞,這會進一步 縮小通路。再次,通路縮小會對抽氣系統的正常功能造成 不利影響,會進一步降低生產力,且典型上會使成本增加 。此外,由於電漿屏與電漿接觸,且因此在電漿中遭受作 用物的轟擊,因此它是一消耗性物件。 此外,將電漿屏直接鎖在處理室典型上限制了材料的 種類,它所使用的材料必須在正常安裝期間不會破裂。此 外,電漿屏與處理室間的電氣與熱接觸很難確保。 由於前述的缺點,吾人需要一種改良的技術與裝置, 用以控制處理室內的電漿體積。 發明槪述 在本發明的一實施例中,與用於處理基底的電漿處ί里 裝置有關。該裝置包括一實質圓柱形的處理室,其內的_ 漿被灼熱且被維持以便進行處理。該裝置還包括一電·漿限 制配置。電漿限制配置包括外磁性桶狀物,配置在處_ ^ 的周圍。外磁性桶狀物具有複數個·第一磁性單元,以處£里 室的軸爲軸,徑向且軸對稱地配置。複數個第一磁性單$ 被架構成產生第一磁場。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項翔填寫本頁) · -8 530523 A7 _ B7_____ 五、發明說明(6 ) 請 先 閱 讀 背 面 之 注 意 事 項 填 本 頁 電漿限制配置還包括內磁性桶狀物,配置在處理室的 內部,且直徑小於外磁性桶狀物的直徑。內磁性桶狀物具 有複數個第二磁性單元,以處理室的輙爲軸,徑向且軸對 稱地配置。複數個第二磁性單元被架構成產生第二磁場。 電漿限制配置被架構成使用第一磁場及第二磁場在外磁性 桶狀物與內磁性桶狀物之間產生限制電漿的磁場,它允許 處理所產生的副產氣體通過,同時.又實質地將電漿限制在 至少由圓柱形處理室及限制電漿之磁場所定義的體積內。 在本發明的另一實施例中,與在一處理室內使用電漿 增強法處理基底同時控制電漿體積的方法有關。該方法包 括以第一磁性單元在處理室的內部產生第一磁場。該方法 進一步包括以第二磁性單元在處理室的內部產生第二磁場 。該方法還包括結合第一磁場與第二磁場以在第一磁性單 元與第二磁性單元間產生一合成磁場。該方法也包括在處 理室內產生電漿,且將電漿限制在至少由部分的處理室與 合成磁場所定義的體積內。 經濟部智慧財產局員工消費合作社印製 在本發明的另一實施例中,與’在一處理室內使用電漿 增強法處理基底同時控制電漿體積的電漿限制配置有關。 該配置包括具有複數個第一磁性單元的第一磁性桶狀物。 第一磁性單元被架構成在處理室內部產生第一磁場。該配 置還包括具有複數個第二磁性單元的第二磁性桶狀物。第 二磁性單元被架構成在處理室內部產生第二磁場。 第二磁場被架構成與第一磁場結合,以在第一磁性桶 狀物與第二磁性桶狀物間產生一合成磁場。該合成磁場被 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -9 - 530523 A7 B7 五、發明說明(7 ) 架構成允許處理所產生的副產氣體通過,同時又實質地將 電漿限制在至少由圓柱形處理室及合成磁場所定義的體積 內 請 先 閱 讀 背 面 之 注 意 事 項 填 寫 本 頁 亂式簡單說明 配合圖示以非限制的實例說明本發明,圖中相同的參 考編號代表相同的元件,其中: 圖1說明電漿處理所使用之習知技術的電感電漿處理 反應器。 圖2顯示圖1所示習知技術之電感電漿處理反應器的 頂視圖。 圖3說明按照本發明一實施例具有電漿限制配置的電 漿處理系統。 圖4說明按照本發明一實施例具有電漿限制配置之電 漿處理系統的斷面側視圖。 ’ 圖5說明按照本發明一實施例具有電漿限制配置之電 漿處理反應器的頂視圖。 經濟部智慧財產局員工消費合作社印製 圖6說明按照本發明一實施例具有電漿限制配置之電 漿處理反應器的斷面頂視圖。 圖7說明按照本發明一實施例的電漿處理系統,具有 一較大的外磁性桶狀物,它的第一磁性單元從處理室的頂 部延伸到處理室的底部。 圖8 A說明按照本發明一實施例使用電漿限制配置及 電漿屏的電漿處理系統。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -10- 530523 A7 _ B7_ 五、發明說明(8 ) 圖8 B是圖8 A中按照本發明之實施例中之電漿屏及 台座總成的放大側視圖。 圖9說明圖7中按照本發明之實施例包括電漿屏的電 漿處理裝置。 元件表 100 電感電漿處理反應器 1 0 2 處理室 10 6 介電窗口 10 4 天線 1 0 8 第一RF電源 110 進氣孔 112 基底 114 卡盤 116 第二R F電源 118 電漿 202 電漿屏 120 處理室壁 204 貫穿孔 300 電漿處理系統 302 電漿處理室 303 處理室壁 3 0 4 天線 306 第一RF電源 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 ----訂--------- 經濟部智慧財產局員工消費合作社印製 -11 - 530523 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(9 ) 3 0 8 介電窗口 3 12 基底 310 氣體注入器 3 14 卡盤 316 第二RF電源 318 排氣口 3 2 0 電漿 352 外磁性桶狀物 3 5 4 內磁性桶狀物 356 限制電漿之磁場 350 電漿限制配置 3 6. 0 第一磁性單元 3 6 2 處理室的軸 3 6 4 第一磁性單元間的空間 3 6 6 第二磁性單元 368 第二磁性單元間的空間 3 6 9 限制電漿之磁場 3 7 0 第一磁場 3 7 2 第二磁場 3 7 6 連接的場線 3 8 0 第一壁 3 8 2 第二壁 4 0 0 內通量板 4 0 2 內通量板的第一組件 (請先閱讀背一8之注意事填寫本頁) 訂. 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -12- 530523 A7 --— B7 五、發明說明(1〇) 4 0 4 內通量板的第二組件 4 0 6 外通量板 7 0 0 外磁性桶狀物 . 請 先 閱 讀 背 之 注 意 事 I* 寫 本 頁 702 磁性單元 7 0 4 處理室壁磁場 802 電漿屏 803 電漿屏 804 支撐架 806 支撐架 808 接合材料 9 0 0 邊緣場線 902 處理室 9 0 6 場線 較佳實施例詳細說明 經濟部智慧財產局員工消費合作社印製 現將參考幾個較佳實施例並配合圖式詳細描述本發明 。在以下的描述中,將會說明極多的特定細節以提供對本 發明的徹底瞭解。不過,熟悉此方面技術之人士應瞭解, 實施本發明並不一定需要全部這些或某些特定細節。在其 它例中,不詳細描述習知的處理步驟,以免對本發明造成 不必要的干擾。 在一實施例中,本發明提供一種處理基底的電漿處理 裝置。該電漿處理裝置包括實質圓柱形的處理室,其內的 電漿被灼熱並維持以處理基底。電漿處理裝置還包括一電 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公茇) -13- 530523 A7 B7___ 五、發明說明(11 ) (請先閱讀背®之注意事填寫本頁) 漿限制配置,被架構成具有產生第一磁場的外磁性桶狀物 ,以及產生第二磁場的內磁性桶狀物。第一磁場與第二磁 場被用來在外磁性桶狀物與內磁性桶狀物間產生限制電漿 的磁場,它允許處理中所產生的副產氣體通過,同時實質 地將電漿限制在至少由實質圓柱形的處理室與限制電漿之 磁場所定義的體積內。 在將基底置入電漿處理室內的卡盤上時開始電漿處理 。處理氣體被輸入電漿處理室,它被激勵並產生電漿。電 漿傾向充滿整個處理室,移動向作用區及非作用區。在作 用區中,電漿的離子被加速朝向基底,離子在基底表面與 中性作用物結合,與基底表面上沈·積的材料反應以處理基 底。在非-作用區中,典型上會產生不利的處理條件,例 如不均勻的電漿密度,或與處理室中未被保護的區域反應 ,排氣口即首當其衝。 經濟部智慧財產局員工消費合作社印製 按照本發明的一態樣,經由在處理室內加入磁場以增 進對電漿處理反應器內之電漿的限制。磁場被架構成防止 電漿移動到處理室的非-作用區。更明確地說,安排磁場 以迫使電漿遠離非-作用區,並將電漿集中靠近處理室的 作用區。結果是,電漿被實質地限制在處理室中預先決定 的區域(例如作用區)。 雖然不願被理論束縛,但相信磁場可被架構成影響帶 電粒子的方向,例如電漿中帶負電的電子與帶正電的離子 。可將磁場安排成做爲一場鏡,它暫時地捕捉電漿中的帶 電粒子(繞場線螺旋旋轉),並最後改變它們的方向離開 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^ 530523 A7 B7 五、發明說明(12 ) 磁場。換言之,如果帶電粒子嘗試跨過磁場,它傾向受磁 場的影響,打轉或被反射開。按此方式,磁場抑制了電漿 跨過磁場所定義的區域。 在較佳實施例中,前述的磁場或限制電漿之磁場是由 加入電漿處理系統的外磁性桶狀物及內磁性桶狀物所產生 。磁性桶狀物所產生的磁場涵蓋內磁性桶狀物與外磁性桶 狀物間的區域。如前所述,磁場被架構成能防止電漿向處 理室的非-作用區移動,並將電漿實質地限制在至少是由 處理室與限制電漿之磁場所定義的體積內。較佳的情況是 ,外磁性桶狀物配置在處理室周圍的四周,而內磁性桶狀 物配置在處理室的內圍。不過,實際的配置是按照每一個 電漿處理系統的特殊設計而異。 此外,外磁性桶狀物以由複數個第一磁性單元架構而 成爲佳,內磁性桶狀物也以由複數個第二磁性單元架構而 成爲佳,兩者皆是以處理室的軸爲軸,徑向對稱配置,且 被架構成產生一磁場。組合第一及第二磁性單元的磁場產 生一合成磁場(例如限制電漿之磁場),它允許處理所產 生的副產氣體通過,同時將電漿實質地限制在至少由處理 室與限制電漿之磁場所定義的體積,內。更明確地說,限制 電漿之磁場被架構成允許中性粒子通過,但阻擋帶電粒子 的通過。 爲利於討論本發明的此態樣,圖3及4說明的典型電 漿處理系統3 0 0使用上述的磁性桶狀物。所顯示的典型 電漿處理系統3 0 0是一電感耦合的電漿反應器,不過, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背·面之注意事3填寫本頁) -裝 訂· 經濟部智慧財產局員工消費合作社印製 530523 A7 B7 五、發明說明(13 ) 須注意,本發明可在任何一種適合形成電漿的電漿反應器 中實施,如電容耦合或ECR反應器。 (請t閱讀背•面之注意事填寫本頁) 電漿處理系統3 0 0包括一電漿處理室3 0 2,部分 是由處理室壁3 0 3定義。爲便於製造及操作簡便,處理 室302以架構成實質的圓柱形爲佳,並具有實質垂直的 處理室壁3 0 3。不過,須注意,本發明並不限於此種結 構,各種結構的處理室都可使用。· 經濟部智慧財產局員工消費合作社印製 處理室3 0 2的外側,配置一天線配置3 0 4 (以線 圈表示),它經由匹配網絡(爲簡化說明在圖3中未顯示 )耦合到第一 RF電源306。第一 RF電源306被架 構成供應天線配置3 0 4頻率範圍大約0 · 4 Μ Η z到大 約50MHz的RF能量。此外,介電窗口308配置在 天線3 0 4與基底3 1 2之間。基底3 1 2代表要被處理 的工件,例如是要被蝕刻、沈積或其它處理的半導體基底 ,或是要被處理成平面顯示器的玻璃面板。例如,可用於 例示之電漿處理系統用的天線/介電窗口配置詳見於同日 提出申請之共同待審的專利申請案,名稱爲'v METHODS AND APPARATUS FOR PRODUCING UNIFORM PROCESS RATES 〃 ,倂入本文參考。 氣體注入器3 1 0典型上配置在處理室3 0 2內。氣 體注入器3 1 0以配置在處理室3 0 2之內圍四周爲佳, 用以將氣體源材料(例如蝕劑的源氣體)釋入介電窗口 308與基底3 12間的RF -感應電漿區。或者,氣體 源材料也可從構建在處理室本身之壁內的入口釋放,或經 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 530523 A7 B7 五、發明說明(14) (請先閱讀背面之注意事項^填寫本頁) 由配置在介電窗口內的噴頭釋放。例如,可用於例示之電 漿處理系統的氣體分配系統詳見於同日提出申請之共同待 審的專利申請案,名稱爲'' PLASMA PROCESSING SYSTEMS WITH DYNAMIC GAS DISTRIBUTION CONTROL 〃,倂入本文參考。 一般而言,基底312被送入處理室302,並放置 在一卡盤3 1 4上,卡盤被架構成在處理期間固定基底。 卡盤3 1 4例如是E S C (靜電)卡盤,它以靜電力將基 底3 1 2穩固在卡盤的表面。典型上,卡盤3 1 4也做爲 底電極,以由第二RF電源3 16偏壓爲佳。第二RF電 源3 1 6被架構成供應頻率範圍大約0 · 4 Μ Η z到大約 5 0 MHz的RF能量。 經濟部智慧財產局員工消費合作社印製 此外,卡盤3 1 4以實質的圓柱形且與處理室同軸爲 佳,以使處理室與卡盤圓柱形對稱。不過,須注意,此並 非限制,且卡盤的配置可按照每一個電漿處理系統的特殊 設計而變。卡盤3 1 4可以被架構成可在裝/卸載基底的 第一位置(未顯示)與處理基底的第二位置(未顯示)間 移動。 仍現請參閱圖3及4,排氣口 3 2 0配置在處理室壁 3 0 3與卡盤3 1 4之間。不過,須注意,排氣口的實際 位置可按照每一個電漿處理系統的特殊設計而異。排氣口 3 2 0要被架構成能順利排放處理期間所形成的副產氣體 爲佳。此外,排氣口 3 2 0要稱合到一渦輪分子邦浦(未 顯示),典型上位在處理室3 0 2的外部。如熟悉此方面 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 530523 A7 B7 五、發明說明(15) 技術之人士所瞭解,渦輪分子邦浦能保持處理室3 0 2內 適當的壓力。 此外,在處理半導體的情況,如蝕刻處理,處理室中 有若干參數需嚴密控制以保持高公·差的結果。處理室的溫 度是這類參數之一。由於蝕刻的公差(影響半導體元件的 性能)對系統中之組件的溫度變動極爲敏感,因此需要精 確地控制。可用於例示之電漿處理系統的溫度管理系統詳 見於同日提出申請之共同待審的專利申請案,名稱爲” TEMPERATURE CONTROL SYSTEM FOR PLASMA PROCESSING SYSTEMS APPARATUS ",倂入本文參考。 此外,另一項在整個電漿處理中要嚴密控制的重要考 量是電漿處理室中所使用的材質,例如處理室壁的內表面 。還有另一項重要考量是用來處理基底的氣體化學品。可 用於例示之電漿處理系統的材質與氣體化學品詳見於同曰 提出申請之共同待審的專利申請案,名稱爲''MATERIALS AND GAS CHEMISTRIES FOR PLASMA PROCESSING SYSTEMS 〃 ,倂入本文參考。 爲產生電漿,經由氣體注入器310將處理氣體輸入 處理室3 0 2。接著使用第一 R F電源3 0 6供應天線 304所需電力,於是,在處理室302內部產生一大電 場。電場加速出現在處理室中的少量電子,致使它們撞擊 處理氣體的氣體分子。撞擊造成離子化,並開始放電或形 成電漿3 2 0。如習知技術,當處理氣體的中性氣體分子 受到強電場失去電子時,留下帶正電的離子。結果是,在 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項¾填寫本頁) I n n i i n n n 一一^I n met ϋ I n n .# 經濟部智慧財產局員工消費合作社印製 -18- 經濟部智慧財產局員工消費合作社印製 530523 A7 B7 五、發明說明(16) 電漿3 2 〇中包括帶正電的離子、帶負電的電子、以及中 性的氣體分子。 電漿一旦形成,電漿內的中性氣體分子傾向直接朝向 基底表面。例如,使中性氣體分子出現於基底的機制可能 是擴散(即,氣體分子在處理室內隨機移動)。因此,典 型上,沿著基底3 1 2表面可以發現一層中性物種(例如 中性氣體分子)。當底電極3 1 4被供電時,離子傾向加 速基底,並與中性物種結合,活化基底的處理,即蝕刻、 沈積及/或其它等。 現請參閱圖3及4,電漿限制配置包括外磁性桶狀物 3 5 2與內磁性桶狀物3 5 4。如前所述,外磁性桶狀物 3 5 2與內磁性桶狀物3 5 4被架構成產生一鏈結的磁場 ,它們結合形成限制電漿的磁場3 5 6。在較佳實施例中 ,限制電漿的磁場3 5 6以配置在處理室壁3 0 3與卡盤 3 1 4間爲佳。按照此方式,可防止電漿進入排氣口 3 1 8,因此,可將電漿3 2 0實質地限制在處理室 3 0 2內部。不過,須注意,處理室內限制電漿之磁場的 實際配置是按照每一個電漿處理系統的特殊設計而異。 雖然圖3及4中所顯示的外磁性桶狀物3 5 2與內磁 性桶狀物3 5 4是在同一平面,但須瞭解,它們之間可以 偏移。唯一的要求是外磁性桶狀物3 5 2與內磁性桶狀物 354要有部分在同一平面。如果.沒有重疊,限制電漿的 磁場可能無法有效地限制電漿。 現請參閱圖4 ,限制電漿的磁場3 5 6被安排成與基 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項相填寫本頁) 裝 n an n n n 一^fl n n ϋ i n i n -19- 530523 A7 B7_ 五、發明說明(17 ) 底3 1 4實質上平行,並配置在比·基底3 1 4之頂表面所 定義之平面低的位置。內及外磁性桶狀物的位置比基底低 大約0 · 2 5到大約1 . 5吋爲佳。不過,須注意,內及 外磁性桶狀物可以配置在處理室中的任何位置,只要它們 產生的磁場不在基底附近即可。例如,電漿限制配置可以 配置在基底上方,以將電漿限制到一較小的區域,或將電 漿導引到處理室內某特定區域,非常類似均勻環。此外, 限制電漿之磁場並不限於與基底平行,它可以配置在其它 位置,例如與基底定義的平面間呈一夾角。 有利的情況是不需要使用電漿屏,典型上它會增加顆 粒污染,增加消耗零件的成本、增加淸潔的步驟,以及縮 小氣流的通路。此外,由於電漿被限制在一指定的體積內 ,因此可以得到較均勻的電漿,因而做到更均勻的蝕刻, 即,基底的中央與邊緣部位都是以實質相同的速率處理。 爲進一步討論本發明的特性及它們優於習知技術的優 點,圖5及6顯示按照本發明的態樣,具有電漿限制配置 之電漿處理反應器3 0 0的頂視圖。如前所述,電漿限制 的配置3 5 0包括外磁性桶狀物3 5 2與內磁性桶狀物 3 5 4。外磁性桶狀物3 5 2以配置在處理室壁3 0 3的 外側爲佳。不過,須注意,外磁性.桶狀物也可配置在處理 室壁內,以及處理室的內側。 內磁性桶狀物3 5 4配置在電漿處理室3 0 2的周圍 。內磁性桶狀物3 5 4的直徑以小於外磁性桶狀物3 5 2 的直徑爲佳。在一實施例中,內磁性桶狀物3 5 4配置在 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請t閱讀背ίθ之注意事 填 5 本頁) 經濟部智慧財產局員工消費合作社印製 -20- 530523 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(18) 卡盤3 1 4內部。不過,須注意,此並非限制,內磁性桶 狀物可置在處理室內各不同部位。例如,內磁性桶狀物可 以配置在均勻環內部,在卡盤上方。 現請參閱圖5及6,外磁性桶狀物3 5 2包括複數個 第一磁性單元3 6 0,它們以處理室3 0 2的軸3 6 2爲 軸,徑向且對稱地配置。較佳的情況是,第一磁性單元 3 6 0軸向地圍繞在處理室的周圍,並使它們的尖端(例 如N或S )指向軸3 6 2。如熟悉此方面技術之人士所瞭 解,尖端是磁性單元之場線聚集在一起的區域,即磁性單 元的北極或南極。此外,第一磁性.單元3 6 0沿著處理室 的周圍方向有一空間上的位移,如此,兩個第一磁性單元 3 6 0之間有一空間3 6 4。須瞭解,空間的大小可按照 每一個電漿處理系統的特殊設計而異。 圖5及6中還顯示內磁性桶狀物3 5 4,它包括複數 個第二磁性單元3 6 6,也是以處理室3 0 2的軸3 6 2 爲軸,徑向且對稱地配置。它很像第一磁性單元3 6 0 , 第二磁性單元3 6 6也是軸向地圍繞在卡盤的周圍,並使 它們的尖端(例如N或S )指向軸3 6 2。此外,第二磁 性單元3 6 6沿著卡盤的周圍方向有一空間上的位移,如 此,兩個第二磁性單元3 6 6之間’有一·空間3 6 8。再次 ,須瞭解,空間的大小可按照每一個電漿處理系統的特殊 設計而異。 此外,第一磁性單元3 6 0的總數量與第二磁性單元 3 6 6的總數量相同爲佳,如此,每一個第一磁性單元與 (請先閱讀背面之注意事項 _填寫本頁) »!裝 寫士 ----——訂 i #. 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) -21 - 530523 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(19 ) 第二磁性單元相對應。在一實施例中,第一磁性單元的總 數爲3 2個。不過,每一個處理室之磁性單元的實施際數 量可按照每一個電漿處理系統的特殊設計而變。一般言之 ,磁性單元的數量要足夠,以確保限制電漿的磁場夠強, 以便能有效地限制磁場。磁性單元的數量太少,所產生之 限制電漿之磁場的效果差,致使電漿可以進入不該進入的 區域。不過,磁性單元的數量太多,會使密度的增加劣化 ,因爲典型上沿者場線在尖端的損失最筒。 在較佳實施例中,第一磁性單元3 6 Q的尖端與對應 之第二磁性單元3 6 6的尖端直線對齊,磁化向量以指向 同一方向爲佳。如熟悉此方面技術之人士所瞭解,磁性單 元之磁化向量的定義是極(例如N / S )的方向。此外, 第一磁性單元與對應之第二磁性單元的磁化向量,以交替 的方向圍繞處理室之軸爲佳(例如:N/S、S/N、N / s、S / N 等)。 較佳但非必要,第一磁性單元與第二磁性單元可爲永 久磁鐵,尺寸大小相同,且所產生的磁通量也相同。不過 ,大小與磁通量相同並非限制,且.在某些結構中,甚至需 要磁通量與大小不同的磁性單元。例如,大約5 0到大約 1 5 0 0高斯的磁通量最適合產生限制電漿的磁場3 6 9 ,其強度足以抑制電漿的移動。某些會影響所需磁鐵之磁 通量及大小的情況包括氣體化學品、功率、電漿密度等。 永久磁鐵以由具有足夠強力之永久磁性材料製成爲佳,例 如由N d F e B或SmC 〇系列的磁性材料製造。在某些 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 1 «I n L* n n H4 n n n n n n I · I n (請L閱讀背is之注意事填寫本頁) •Ή ·- -99 - 530523 A7 B7_ 五、發明說明(20 ) 較小的處理室中,A 1 N i C 〇或陶瓷也能工作良好。 (請先閱讀背ίθ之注意事^^填寫本頁) 雖然是使用永久磁鐵來實施電漿限制配置,但也可以 使用電磁鐵來實施電漿限制配置。電磁鐵的優點是可以控 制磁通量,因此,可以獲得較佳的處理控制。不過,電磁 鐵傾向使系統的製造更複雜,因此並不實用。 現請參閱圖6,第一磁性單元3 6 0被架構成產生第 一磁場3 7 0,第二磁性單元3 6 6被架構成產生第二磁 場3 7 2。部分的第一磁場3 7 0與部分的第二磁場 3 7 2重疊爲佳,如此,可以增加在環形間隙中所得到的 場強度。此外,磁性單元最好被架構成在軸向的磁性單元 3 6 0、3 6 6間有連接的場線3 7 6。兩個場分量 3 7 0、3 7 2及連接的場線3 7 6構成所要的限制電漿 的磁場3 6 9。磁場3 7 0、3 7 2被拉跨過環形間隙或 處理室壁3 0 3與卡盤3 1 4間的排氣口以提供足夠的限 制電漿之磁場3 6 9,並覆蓋環形間隙。雖然,圖中所顯 示的是覆蓋卡盤與處理室壁間的區域,但須瞭解,限制電 漿之磁場的位置可以改變,例如,磁場可以甩來禁止電漿 進入處理室內任何預先決定的區域。 經濟部智慧財產局員工消費合作社印製 主要地,磁性單元之磁通量的強度必須高,以便能有 夠大的場強度離開磁鐵,並有效地連接成所顯示的場拓撲 。如果所選用的磁通量太低,限制電漿之磁場中低場的區 域將擴大,致使限制電漿的磁場無法有效地禁止電漿。因 此,較佳是使磁場的重疊最大化,並使場連接,以使低場 區域最小化。較佳的情況是,結合.第一及第二磁場,或限 本紙張尺度適用中國國家標準(CNS)A4規格〈210 X 297公釐) -23- 530523 A7 B7 五、發明說明(21 ) 制電漿之磁場具有結合的場強度,以有效地防止電漿通過 限制電漿的磁場。更明確地說,限制電漿之磁場的磁通量 範圍應從大約1 5到大約1 5 0 0.高斯,從大約5 〇 〇到 1 0 0 0高斯更佳,從大約1 〇 0到大約8 〇 0高斯尤佳 0 典型上,第一壁3 8 0配置在第一磁性單元3 6 0與 處理室3 0 2之間,第二壁3 8 2配置在第二磁性單元 3 6 6與處理室3 0 2之間。第一壁3 8 Q例如是處理室 壁303,第二壁382例如是部分的卡盤3 14。較佳 的情況是,壁(例如處理室與部分的卡盤)是使用非-磁 性材料製+成,可實質地抵抗電漿環境。例如,製造壁的材 料可以是碳化矽、氮化矽、石英、陽極處理的鋁、氮化硼 、碳化硼或類似物。 此外,磁性單元與處理室間的距離應該保持最小,以 便能有效地使用磁性單元所產生的磁能。易言之,磁性單 元愈靠近處理室,在處理室內所產生的磁場強度也愈強。 如果距離加大,就需要較大的磁鐵以便得到所要的磁場。 較佳的情況是,其距離在大約1 / 1 6吋到大約1吋。須 注意,其間的距離按照磁性單元與處理室間所使用的特定 物質而異。 關於所使用的磁場,通常,較佳的情況是在基底附近 的磁場爲零或接近零。磁通量靠近基底表面傾向使處理的 均勻性劣化。因此,電漿限制配置所產生的磁場要被架構 成在基底上方所產生的磁場實質上爲零。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) --------------裝--- (請先•閱讀背歃之注意事填寫本頁) · # 經濟部智慧財產局員工消費合作社印製 -24- 530523 經濟部智慧財產局員工消費合作社印製 A7 B7 _五、發明說明(22 ) 按照本發明的另一態樣,配置複數個通量板以控制電 漿限制配置之第一及第二磁性單元所產生的不需要的磁場 。通量板被架構成用來短路不需要磁場之區域中的磁場。 例如,磁場通常會突出到磁性單元的非使用側。此外,通 量板改變某些磁場的方向,並因此可將更強的磁場導引到 所要的區域中。較佳的情況是,通量板使基底區域的磁場 強度最小化,並因此可將磁性單元配置在更靠近基底的位 置。因此,可以做到基底表面附近的磁場爲零或接近零。 現請再參閱圖4,電漿限制配置3 5 0包括電漿處理 通量板用以控制不需要的磁場。在一實施例中,內通量板 4 0 0,圍繞著內磁性桶狀物3 5 4的內圍及頂圍連續配 置,即靠近基底的側邊。較佳的內通量板4 0 0是被安排 成阻擋不需要的磁場並改變它的方_向,不需要的磁場是直 接朝向基底3 1 2的磁場。較佳的情況是,內通量板 4 0 0包括第一組件4 0 2及第二組件4 0 4。第一組件 4 0 2沿著內磁性桶狀物3 5 4的頂表面配置,第二組件 4〇2沿著內磁性桶狀物3 5 4的內圍配置爲佳。此外, 內通量板4 0 0配置在內磁性桶狀物3 5 4的附近爲佳。 內通量板4 0 0與內磁性桶狀物3 5 4緊密接觸更佳。此 配置傾向最有利於將磁場方向改朝向環形區域。 須瞭解,這些位置並非限制,第一及第二組件可以配 置在其它位置,只要它們能實質地防止不需要的磁場靠近 基底。此外,內通量板並不限於2個組件,可以架構成一 個組件或多於2個組件。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱1 (請先閱讀背面之注意事項^填寫本頁) 1· :裝 #· -25- 530523 A7 B7___ 五、發明說明(23) 在另一實施例中,外通量板4. 0 6的配置是連續地圍 繞在外磁性桶狀物3 5 2的外圍,以將磁場的方向改變到 處理室內對限制電漿體積有貢獻的位置,即能有效率地使 用磁鐵。此外,外通量板也可限制外部的磁場干擾而影響 到設計。此外,外通量板4 0 6配置在外磁性桶狀物 3 5 2附近爲佳。外通量板4 0 6與外磁性桶狀物3 5 2 緊密接觸更佳(與上述有關於內通量板的理由相同)。 一般言之,製造通量板的材料要可以吸收(即短路) 不需要的磁場。例如,通量板可以使用磁導率(μ )高的材 料製造。在一實施例中,通量板是使用冷軋鋼製造。在另 一實施例中,通量板是使用鐵製造。 內通量板使第二磁性單元可以靠近基底配置,且不會 在基底表面附近產生磁場。按此方式,限制電漿的磁場可 以靠近基底配置,並因此可更有效地限制電漿。此外,經 由將磁性單元配置的更靠近基底,就可覆蓋更多的排氣口 〇 經濟部智慧財產局員工消費合作社印製 此外,須瞭解,當內磁性桶狀物與基底間的距離足夠 時,也可以不需要內通量板。在此情況,內磁性桶狀物的 頂表面與基底的底表面間,應大約有第一磁性單元之大小 的距離,或大約第一磁性單元間之間隔大小的距離,視何 者較小而定。如果磁鐵小,場線傾向靠近磁鐵。如果間隔 小,場線傾向靠近次一個磁鐵。在這兩情況場線都傾向不 靠近基底。例如,不使用通量板,磁鐵與基底間的距離應 在大約1到大約2吋之間。 本紙張尺度適用中國國家標準(CNS)A4規格〈210 X 297公釐) -26- 530523 A7 B7 五、發明說明(24 ) 雖然圖3及4中所顯示的內磁性桶狀物的磁性單元僅 橫跨處理室的部分高度,但這並非要求。例如,圖7顯示 圖3的電漿處理系統3 0 0具有較大的外磁性桶狀物 7 0 0 °較大的外磁性桶狀物包括複數個較長的磁性單元 7 〇 2,它從處理室3 0 2的頂部一直延伸到超過處理室 3 0 2的底部。較大的外磁性桶狀物7 〇 0提供更優於習 知技術的優點。易言之,較長的磁性單元7 0 2被架構成 經由在處理室壁3 0 3的附近產生處理室壁磁場7 0 4, 迫使實質的電漿密度梯度集中在處·理室壁的附近,遠離基 底。按此方式,當橫過基底3 1 2的電漿密度梯度減至最 小時,均勻性可進一步增加。結合限制電漿之磁場3 5 6 ,在改良的電漿處理系統中,它對處理均勻性增進的程度 ,遠超過很多電漿處理系統。例如,此種桶狀物配置的細 節,詳見於同日提出申請之共同待審的專利申請案,名稱 爲、、IMPROVED PLASMA PROCESSING SYSTEMS AND METHODS THEREFOR ",倂入本文參考。 從前文中可看出,本發明提供很多優於習知技術的優 點。例如,本發明提供用以限制電漿的磁場,同時允許處 理的副產氣體通過。因此,磁場實質上防止電漿移動到處 理室的非-作用區。更重要的是,可將處理室內的電漿控 制在一指定的體積及一指定的位置。按照此方法,可以得 到更密度更均勻的電漿,致得到更均勻的處理,即,在蝕 刻期間,基底的中央與邊緣的蝕刻速率實質上相同。此外 ,本發明利於在處理室的內部產生磁場,該磁場不會出現 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -- (請九閱讀背一0之注意事填寫本頁) Γ 經濟部智慧財產局員工消費合作社印製 ^ 07 _ 經濟部智慧財產局員工消費合作社印製 530523 A7 B7_____ 五、發明說明(25 ) 於基底表面的附近。結果是,基底表面的處理情況更加穩 定。 另一優點是不需要使用電漿屏,典型上,電漿屏會增 加顆粒污染、使消耗性組件的成本增加、淸潔步驟增加, 以及氣流通路減少。反之,本發明的氣流通路是整個處理 室,因此,處理的窗口加大,即抽氣速率、氣流及壓力。 此外,氣流通路不減少,處理系統可以在較低的壓力下操 作,使用較小的邦浦。此外,在基底表面四周所產生的氣 流對稱,使得處理速率更均勻。此外,就電漿處理系統的 整個壽期而言,本發明較便宜。 須注意,雖然較佳實施例的考慮是產生夠強的磁場以 限制電漿,並不需要在處理室內加入電漿屏,但也可以使 用電漿屏以增加對電漿的限制。例如,可以使用磁場做爲 限制電漿的第一裝置,並使用電漿屏做爲限制電漿的第二 裝置。 此外,如果無法忍受磁鐵設計的複雜度或成本,且通 路的損失尙不足以造成危害,按照本發明的另一態樣,可 以使用改良式的電漿屏。現請參閱圖8 A及8 B,電漿屏 8 0 2/8 0 3並非直接鎖在處理室壁上,而是接合在適 當材料(例如鋁)製成的支撐架8 0 4 / 8 0 6上。因此 ,腐蝕率低及較易碎之機械強度較高的電漿屏材料(即低 張應力及高易碎性)可以放置在這些較堅固的支撐架上。 可用來製造電漿屏8 0 2/8 0 3的材料包括矽及碳化砂 。接合材料8 0 8可以使用電氣性能及熱接觸良好且適合 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) n n n n n i_i n n n In t · n n n i t— n ·1· 一 or . i n n In n ·1 I 1 (請先閱讀背s'之注意事填寫本頁) -28- 530523 A7 B7 五、發明說明(26 ) 在真空中使用的材料製成(例如黏合劑)。此外,電漿屏 8 0 2/8 0 3也可附接到處理室壁3 0 3或卡盤上(在 單或多室中)。 除了選擇電漿屏之罕有材質具彈性外,支撐架的設計 也更具彈性,以構成可全然重現的電氣及熱連接,以在重 新組裝時能得到可重現的系統性能。例如,複雜的形狀包 括r f墊圈及閂鎖表面,可以設計成使用比碳化矽或矽堅 固且更具成本效益的鋁製造。另一優點是來自底電極(例 如卡盤3 1 4 )的r f回程電流,可經由通過支撐架回到 r f匹配系統中之r f接地的低阻抗路徑可靠地控制。此 可使得經由工具之不可靠的接地回程路徑的流動減少。另 一優點是電漿屏可分割成較小的段製造,經由支撐架的附 接,更具成本效益。此外,裝載或卸載基底312時如果 需要取下電漿屏的某些段也很方便。此外,本發明也允許 放置純材質電漿屏(例如碳化矽或矽)的位置更具彈性, 允許將直接鎖在基於真空完整性之考量而不能閂鎖的位置, 或基於污染之考量,反應器面對電漿的內表面需要完全是 純材料,而不能出現金屬扣件的位置。 此外,前述的電漿屏與支撐架總成也可與上述圖7所 教導的共同使用。現請參閱圖9,圖中顯示電漿屏8〇2 /8 〇 3使用支撐架8 0 4/8 0 6固定在磁性單元 7 0 2下端的上方。如果按照先前般地實施電漿屏8 0 2 /8 〇 3 ,要使用磁場7 0 4沿著壁定義電漿的體積會有 困難。如圖所示,在磁性單元7 0 2下端的邊緣場線 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事'^:填寫本頁) 丨裝 經濟部智慧財產局員工消費合作社印製 _ OQ _ 530523 A7 _____B7__ 五、發明說明(27 ) 9 0 0可穿過固定在處理室9 0 2下方的電獎屏。如此會 加強電漿使電漿屏失效的能力,並使電漿延伸到室9 0 2 的下方。可將屏中的孔縮小到足此.防止此現象發生,不過 ,會損失某些氣流的通路。另者,電漿屏可以配置在遠離 邊緣場的位置。不過,如此會增加處理室的面積,在某些 情況,有時會阻遏了以磁場配置定義電漿體積的優點。 本發明之實施例特別有利的情況是電漿屏8 0 2 / 8 0 3可以配置在較高的位置,其場線9 Q 6走電漿屏 8 0 2 / 8 0 3的平面,而非切割過電漿屏。在此種結構 中,橫過的場擴散會減少,且磁場限制將局部地禁止電漿 經由電漿屏擴散。如此,電漿屏中可使用較大的孔,以增 加氣流的通路。 雖然本發明是以數個較佳實施例描述,但替換、變換 或相等物都在本發明的範圍內。須注意,實施本發明的方 法與裝置可有很多種替換方式。因此,以下所附申請專利 範圍意欲解釋成包括所有這類替換、變換或相等物,都在 本發明的真正精神與範圍內。 (請先閱讀背面之注意事3填寫本頁) 裝 LT·- 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公茇)

Claims (1)

  1. 530523 A8 B8 C8 D8
    煩請委員明一 W -本案修正後是否變更摂 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 附件A : 第89 1 24198號專利申請案 中文’申請專利範圍修正本 民國91年5月修正 1 . 一種用以處理基底的電漿處理裝置,包括: 一實質圓柱形的處理室,其內有被灼熱且被維持以進 行該處理的電漿;以及 一電漿限制配置,包括: 一外磁性桶狀物,圍繞配置在該處理室周圍,該外磁 性桶狀物具有複數個第一磁性單元,以該處理室的軸爲軸 ,徑向且對稱地配置,該複數個第一磁性單元被架構成產 生第一磁場 ; 一內磁性桶狀物,配置在該處理室內部,其直徑小於 該外磁性桶狀物的直徑,該內磁性桶狀物具有複數個第二 磁性單元,以該處理室的軸爲軸,徑向且對稱地配置,該 複數個第二磁性單元被架構成產生第二磁場, 該電漿限制配置被架構成使用該第一磁場與該第二磁. 場在該外磁性桶狀物與該內磁性桶狀物之間產生限制電漿 之磁場,它允許該處理所產生的副產氣體通過,同時實質 地將電漿電漿限制在至少由該實質的圓柱形處理室與該限 制電漿之磁場所定義的體積內。 2 .如申請專利範圍第1項的電漿處理裝置,其中, 部分的該第一磁場與部分的該第二磁場結合,該結合產生 該限制電漿之磁場,該限制電漿之磁場具有的磁場強度能 (請先閱讀背面之注意事項再填寫本頁) .P. 、1T f 本紙張尺度適用中國國家標準(CNS ) A4規格(210X:297公釐) 530523 A8 B8 C8 ____D8 六、申請專利範圍 有效地防止該電漿通過該限制電漿之磁場。 3 ·如申請專利範圍第1項的電漿處理裝置,其$言亥 限制電漿的磁場是由該第一磁場與該第二磁場所產生,具 有的磁通量在大約5 0到大約1 0 0 0高斯的範圍。 4 ·如申請專利範圍第1項的電漿處理裝置,其ψ > 當該基底放置到該處理室內進該處理時,該電漿限制配置 並不在該基底的表面附近產生磁場。 5 ·如申請專利範圍第1項的電漿處理裝置,其巾該 第一磁性單元沿著該處理室的軸空間地位移,且其中該第 二磁性單元沿著該處理室的軸空間地位移。 6 ·如申請專利範圍第1項的電漿處理裝置,其中該 複數個第一磁性單元之第一磁性單元的總數等於該複數個 第二磁性單元之第二磁性單元的總數,因此,每一個該第 一磁性單元具有一個對應的第二磁性單元。 7 ·如申請專利範圍第6項的電漿處理裝置,其中該 第一磁性單元的尖端與該對應之第二磁性單元的尖端對對 齊。 . 8 ·如申請專利範圍第7項的電漿處理裝置,其中該 第一磁性單元與該對應之第二磁性單元的磁化向量指向相 同的徑向方向。 . 9 ·如申請專利範圍第8項的電漿處理裝置,其中該 第一磁性單元之磁化向量的方向繞著該處理室的軸交替改 變 〇 · 1 〇 ·如申請專利範圍第1項的電漿處理裝置,其中 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 丨丨丨ml—,#—, (請先閱讀背面之注意事項再填寫本頁) 訂· P. 經濟部智慧財產局員工消費合作社印製 -2- 530523 A8 B8 C8 D8 __ 六、申請專利範圍 該第一磁性單元與該第二磁性單元是永久磁鐵。 1 1 ·如申請專利範圍第1項的電漿處理裝置,其中 該電漿限制配置還包括複數個通量板,該通量板被架構成 控制該第一磁性單元與該第二磁性單元所產生之不需要的 磁場。 1 2 .如申請專利範圍第1 1項的電漿處理裝置,其 中該複數個通量板包括第一通量板,連續地配置在該外磁 性桶狀物的外圍,該第一通量板靠近該第一磁性單元。 1 3 ·如申請專利範圍第1 2項的電漿處理裝置,其 中該複數個通量板包括第二通量板,包圍在該內磁性桶狀 物的部分位置,該第二通量板被架構成,當在處理期間將 該基底放置到該處理室內時,將該不需要的磁場改變到遠 離該基底的方向。 1 4 ·如申請專利範圍第1 3項的電漿處理裝置,其 中該第二通量板被連續地配置在該內磁性桶狀物的內及頂 圍,該第二通量板靠近該第二磁性單元。 1 5 ·如申請專利範圍第1 1項的電漿處理裝置,其 中該複數個通量板是由導磁率高的材料_ $。 1 6 ·如申請專利範圍第1 5項的電漿處理裝置,其 中該複數個通量板是由冷軋鋼製成。· 1 7 ·如申請專利範圍第1項的電漿處理裝置,進一 步包括: 一電獎屏配置,配置在該處理室內部,該電漿屏配置 包括一電獎屏及一電獎屏支撐架,該電漿屏支撐架附接於 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公f ----:--- I! HI!,#! (請先閱讀背面之注意事項再填寫本頁) 、1T 經濟部智慧財產局員工消費合作社印製 -3 - 530523 A8 Β8 C8 D8 六、申請專利範圍 該處理室,該電漿屏與該電漿屏支撐架接合。 1 8 .如申請專利範圍第1項的電漿處理裝置,其中 該電漿處理裝置進一步包括一實質上爲圓柱形的台座,配 置在該實質上爲圓柱形之處理室之內圍的內部,該實質上 圓柱形的台座具有一外徑,它小於該實質上圓柱形之處理 室的內徑,該實質上圓柱形的台座與該實質上圓柱形的處 理室軸對齊,該實質上圓柱形之處理室的內圍與該實質上 圓柱形之台座的外圍間定義一環形間隙,該環形間隙是實 質上的圓柱形對稱。 1 9 .如申請專利範圍第1 8項的電漿處理裝置,其 中該基底放置在該實質上圓柱形的台座上。 2 〇 .如申請專利範圍第1 9項的電漿處理裝置,其 中該內磁性桶狀物配置在該實質上圓柱形之台座的內部。 2 1 .如申請專利範圍第2 0項的電漿處理裝置,當 該基底被放置到該處理室進行該處理時,其中該限制電漿 之磁場的配置低於該基底。 2 2 _如申請專利範圍第2 1項的電漿處理裝置,其 中該實質上圓柱形的台座是一卡盤配置,用以在處理期間 固定基底。 2 3 ·如申請專利範圍第1 8項的電漿處理裝置,進 一步包括: 一電漿屏配置,配置在該處理室內部,.該電漿屏配置 包括一電漿屏及一電漿屏支撐架,該電漿屏支撐架附接於 該台座,該電漿屏與該電漿屏支撐架接合。 本紙張尺度適用巾關家_ ( CNS ) A4· ( 210X297公釐) :' (請先閲讀背面之注意事項再填寫本頁) 、1T f 經濟部智慧財產局員工消費合作社印製 -4- 530523 A8 B8 C8 _______ 08 六、申請專利範圍 2 4 · —種使用電漿增強法在處理室中處理基底同時 控制電漿之體積的方法,包括: (請先閲讀背面之注意事項再填寫本頁) 在該處理室內以第一磁性單元產生第一磁場; 在該處理室中以第二磁性單元產生第二磁場; 結合該第一磁場與該第二磁場以在該第一磁性單元與 該第二磁性單元間產生一合成磁場; 在該處理室內產生該電漿;以及 將該電漿限制在至少由部分的該處理室與該合成磁場 所定義的體積內。 2 5 · —種使用電漿增強法在處理室中處理基底同時 控制電漿之體積的電漿限制配置,包括: 第一磁性桶狀物,具有複數個第一磁性單元,該第一 磁性單元被架構成在該處理室內部產生第一磁場;以及 第二磁性桶狀物,具有複數個第二磁性單元,該第二 磁性單元被架構成在該處理室內部產生第二磁場, 經濟部智慧財產局員工消費合作社印製 該第二磁場被架構成與該第一磁場結合,以在該第一 磁性桶狀物與該第二磁性桶狀物間產生一合成磁場,該合 成磁場被架構成允許該處理所產生的副產氣體通過,同時 ,實質地將電漿限制在至少由該處理室與該合成磁場所定 義的體積內。 . 2 6 .如申請專利範圍第2 4項的方法,其中該第一 及第二磁場爲多尖端磁場,且其中該第一多尖端磁場產生 於第一方向,該第二多尖端磁場產生於第二方向,該第一 方向與該第二方向相反。 本f張尺度適用中國國家標準(CNS ) A4規格(210X297公嫠) " : -5- 530523 A8 B8 C8 __ D8 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 2 7 ·如申請專利範圍第2 6項的方法,其中該第一 及第二多尖端磁場產生於由該基底的頂表面所界定的平面 下方。 2 8 ·如申請專利範圍第2 4項的方法,其中該第一 磁場及該第二磁場產生於大致平行該基底的表面。 2 9 ·如申請專利範圍第2 4項的方法,其中該第一 及該第二磁場與該基底的頂表面分離,以使該基底的該頂 表面附近之該磁場的效果最小化。 3 〇 ·如申請專利範圍第2 5項的電漿限制配置,其 中至少該磁場的一部份被架構成結合,以在該第一磁性桶 狀物與該第二磁性桶狀物之間產生一合成磁場,該合成磁 場被架構成允許該處理所產生的副產氣體通過,同時實質 地將電漿限制在至少由該合成磁場所定義的體積內。 3 1 ·如申請專利範圍第2 5項的電漿限制配置,其 中該第一磁性單元與至少一部份的該第二磁性單元置於由 該基底的頂表面所界定的平面下方,以在由該基底的該頂 表面所界定的該平面方向產生該磁場。 經濟部智慧財產局員工消費合作社印製 3 2 .如申請專利範圍第2 5項的電漿限制配置,其 中許多該第一磁性單元對應許多該第二磁性單元,其中對 應的該第一及第二磁性單元被軸向地對齊,且其中對應的 該第一及第二磁性單元的磁向量是朝向相同方向。. 3 3 ·如申請專利範圍第2 5項的電漿限制配置,其 中該許多第一磁性單元位在該處理室的外側,且其中該許 多第二磁性單元位在該處理室的內側。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) " :' 一 -6 - 530523 A8 B8 C8 D8 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 3 4 ·如申請專利範圍第2 5項的電漿限制配置,進 一步包含許多通量板,該通量板被架構成控制由該第一磁 性單元及該第二磁性單元所產生的該磁場。 3 5 ·如申請專利範圍第2 5項的電漿限制配置,其 中該許多第一磁性單兀及該許多第二磁性單元置於該處理 室的軸周圍,且其中該許多第二磁性單元定位成比該許多 第一磁性單元更靠近該處理室的軸。 3 6 . —種使用於電漿處理室中的電漿限制配置,包 含: 一磁性配置,架構成用於產生一夠強的磁場,以限制 該處理室內的該電漿;及 一通量板,包圍該磁性單元的一部份,該通量板架構 成用於阻隔並改變在由該通量板包圍的該部份磁性配置所 形成的一部份磁場之方向。 3 7 ·如申請專利範圍第3 6項的電漿限制配置,其 中該磁性配置及該通量板置於該處理室的內側。 經濟部智慧財產局員工消費合作社印製 3 8 ·如申請專利範圍第3 6項的電漿限制配置,其. 中該通量板架構成阻隔並改變該部份磁場的方向,遠離該 處理室內側的基底。 3 9 ·如申請專利範圍第3 6項的電漿限制配置,其 中一基底座置於該處理室內側,該基底座具有一表面,架 構成可容納一基底,且其中該磁性配置及該通量板定位於 該基底座的外周內。 4 0 ·如申請專利範圍第3 6項的電漿限制配置,進 本紙張尺度適用中國國家榡準(CNS ) A4規格(210X297公釐) ' 一 530523 A8 B8 C8 D8 六、申請專利範圍 一步包括一第二磁性配置,置於該處理室外側且架構成產 生夠強的第二磁場以限制該處理室內的電漿;及一第二通 量板,置於該處理室外側且包圍一部份的該第二磁性配置 ,該第二通量板架構成用於阻隔並改變在由該第二通量板 包圍的該部份的第二磁性配置所形成的一部份該第二磁場 之方向。 4 1 .如申請專利範圍第4 0項的電漿限制配置,其 中該通量板架構成阻隔或改變該部份的磁場之方向,向著 該第二磁性配置,且其中該第二通量板架構成阻隔或改變 該部份的磁場之方向,向著該第一磁性配置。 4 2 ·如申請專利範圍第4 1項的電漿限制配置,其 中該磁性配置包括許多磁性單元,置於該處理室的軸周圍 ,且其中該第二磁性配置包括許多第二磁性單元,置於該 處理室的軸周圍。 4 3 .如申請專利範圍第4 2項的電漿限制配置,其 中該通量板連續地置於該磁性配置的頂部及內周周圍,且 其中該第二通量板連續地置於該第二磁性配置的外周周圍. (請先閱讀背面之注意事項再填寫本頁;> 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) -8-
TW089124198A 1999-11-15 2000-11-15 Method and apparatus for controlling the volume of a plasma TW530523B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/439,759 US6322661B1 (en) 1999-11-15 1999-11-15 Method and apparatus for controlling the volume of a plasma

Publications (1)

Publication Number Publication Date
TW530523B true TW530523B (en) 2003-05-01

Family

ID=23746030

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089124198A TW530523B (en) 1999-11-15 2000-11-15 Method and apparatus for controlling the volume of a plasma

Country Status (10)

Country Link
US (1) US6322661B1 (zh)
EP (1) EP1230667B1 (zh)
JP (1) JP5331283B2 (zh)
KR (1) KR100778258B1 (zh)
CN (2) CN1225005C (zh)
AT (1) ATE420455T1 (zh)
AU (1) AU3082201A (zh)
DE (1) DE60041350D1 (zh)
TW (1) TW530523B (zh)
WO (1) WO2001037311A2 (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6558504B1 (en) * 1998-12-21 2003-05-06 Research Triangle Institute Plasma processing system and method
US6518705B2 (en) 1999-11-15 2003-02-11 Lam Research Corporation Method and apparatus for producing uniform process rates
AU1606101A (en) * 1999-11-15 2001-05-30 Lam Research Corporation Materials and gas chemistries for processing systems
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6744213B2 (en) * 1999-11-15 2004-06-01 Lam Research Corporation Antenna for producing uniform process rates
US20020142612A1 (en) * 2001-03-30 2002-10-03 Han-Ming Wu Shielding plate in plasma for uniformity improvement
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
KR100988085B1 (ko) * 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
WO2008024392A2 (en) 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US8092605B2 (en) * 2006-11-28 2012-01-10 Applied Materials, Inc. Magnetic confinement of a plasma
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
MX345403B (es) 2009-05-13 2017-01-30 Sio2 Medical Products Inc Revestimiento por pecvd utilizando un precursor organosilícico.
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US20120160806A1 (en) * 2009-08-21 2012-06-28 Godyak Valery A Inductive plasma source
JP5367522B2 (ja) 2009-09-24 2013-12-11 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
CN102573258B (zh) * 2010-12-15 2014-11-05 北京北方微电子基地设备工艺研究中心有限责任公司 感应耦合等离子体装置
JP5661513B2 (ja) 2011-03-03 2015-01-28 東京エレクトロン株式会社 プラズマ処理装置
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
JP5870568B2 (ja) * 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
EP2776603B1 (en) 2011-11-11 2019-03-06 SiO2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
CA2887352A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
EP2914762B1 (en) 2012-11-01 2020-05-13 SiO2 Medical Products, Inc. Coating inspection method
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
WO2014085348A2 (en) 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Controlling the uniformity of pecvd deposition on medical syringes, cartridges, and the like
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
KR102472240B1 (ko) 2013-03-11 2022-11-30 에스아이오2 메디컬 프로덕츠, 인크. 코팅된 패키징
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US11077233B2 (en) 2015-08-18 2021-08-03 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US20220102119A1 (en) * 2020-09-25 2022-03-31 Tokyo Electron Limited Plasma processing apparatus
CN113735632B (zh) * 2021-09-03 2022-05-17 重庆大学 一种磁控式空气等离子体制备氮肥***

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5874084A (ja) * 1981-10-29 1983-05-04 Fujitsu Ltd 半導体装置
JPS6348826A (ja) * 1986-08-19 1988-03-01 Toshiba Corp ドライエツチング装置
KR920002864B1 (ko) * 1987-07-20 1992-04-06 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리방법 및 그 장치
US5032205A (en) * 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP3126405B2 (ja) * 1991-04-30 2001-01-22 株式会社日立製作所 スパッタデポジション装置
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5484485A (en) * 1993-10-29 1996-01-16 Chapman; Robert A. Plasma reactor with magnet for protecting an electrostatic chuck from the plasma
FR2715019B1 (fr) * 1994-01-13 1996-04-05 Plasmion Dispositif pour former un plasma par application de micro-ondes afin de produire un faisceau d'ions.
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3585578B2 (ja) * 1995-05-30 2004-11-04 アネルバ株式会社 プラズマ処理装置
US5810932A (en) 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
TW303480B (en) * 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6048435A (en) * 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US6189484B1 (en) 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
JP2001093699A (ja) * 1999-09-22 2001-04-06 Hitachi Kokusai Electric Inc プラズマ処理装置
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems

Also Published As

Publication number Publication date
WO2001037311A2 (en) 2001-05-25
AU3082201A (en) 2001-05-30
ATE420455T1 (de) 2009-01-15
KR100778258B1 (ko) 2007-11-22
EP1230667A2 (en) 2002-08-14
CN100437897C (zh) 2008-11-26
CN1423828A (zh) 2003-06-11
JP2003514386A (ja) 2003-04-15
JP5331283B2 (ja) 2013-10-30
US6322661B1 (en) 2001-11-27
CN1747133A (zh) 2006-03-15
CN1225005C (zh) 2005-10-26
DE60041350D1 (de) 2009-02-26
KR20020053854A (ko) 2002-07-05
EP1230667B1 (en) 2009-01-07
WO2001037311A3 (en) 2001-10-11

Similar Documents

Publication Publication Date Title
TW530523B (en) Method and apparatus for controlling the volume of a plasma
US11476093B2 (en) Plasma etching systems and methods with secondary plasma injection
JP3438696B2 (ja) プラズマ処理方法及び装置
JP4527431B2 (ja) プラズマ処理装置
TW521298B (en) Method and apparatus for plasma forming inner magnetic bucket to control a volume of a plasma
KR100988085B1 (ko) 고밀도 플라즈마 처리 장치
JP4392852B2 (ja) プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
JP4388020B2 (ja) 半導体プラズマ処理装置及び方法
JP3833900B2 (ja) エッチング装置およびエッチング方法
US20030010454A1 (en) Method and apparatus for varying a magnetic field to control a volume of a plasma
JP2004501277A (ja) マグネトロンスパッタリングを向上させる誘導プラズマループ
JP4601104B2 (ja) プラズマ処理装置
JP2004022935A (ja) プラズマ処理装置及びプラズマ処理方法
JP5638449B2 (ja) 誘導結合プラズマ処理装置
JP5174848B2 (ja) プラズマ処理方法及びプラズマ処理装置
KR100721573B1 (ko) 유도결합형 플라즈마 처리장치
KR100921635B1 (ko) 플라즈마 기판 처리 장치
JP2001093699A (ja) プラズマ処理装置
KR100387926B1 (ko) 플라즈마 에칭 장치
JP4223143B2 (ja) プラズマ処理装置
US6432730B2 (en) Plasma processing method and apparatus
KR100558929B1 (ko) 플라즈마 에칭 장치
KR20210039161A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent