TW519760B - Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same - Google Patents

Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same Download PDF

Info

Publication number
TW519760B
TW519760B TW090116500A TW90116500A TW519760B TW 519760 B TW519760 B TW 519760B TW 090116500 A TW090116500 A TW 090116500A TW 90116500 A TW90116500 A TW 90116500A TW 519760 B TW519760 B TW 519760B
Authority
TW
Taiwan
Prior art keywords
metal
group
doped
film
oxygen
Prior art date
Application number
TW090116500A
Other languages
English (en)
Inventor
Yanjun Ma
Yoshi Ono
Original Assignee
Sharp Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Kk filed Critical Sharp Kk
Application granted granted Critical
Publication of TW519760B publication Critical patent/TW519760B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Inorganic Insulating Materials (AREA)

Description

A7
本申請案為2000年2月29曰申請之美國 咖5,743號之部分接續_請案,該案_ 請案第 請之美國專利申請案第〇9/356,47()號 19曰中 之一部份。 寻利弟6,060,755號 數= 關於積體電路⑽製程,特別是高介電常 数之閘極七緣膜,以及此膜之沈積方法。 現有石夕晶超大規模集成技術使用二氧切 化石夕之氮化物,作為金屬氧化物半導 咐 介電質。隨著裝置尺寸的持續縮小,二氧切 == 必須」咸少以保持問極與通道區域之間相同的電::二少 :=之(二厚二是广預期的。可是穿越此-二氧化 ::層之心道效應電流的出現,要求考慮替代材料。且 數的材料允許問極介電層做得更薄,並因而減 =;Γ流的問題。所謂“介電膜在本文中定義為 具有相對於二氣化石夕之高介電常數,二氧化石夕具有大 2 4的’I電常數’而高k膜具有大於約1〇的介電常數。現有 融之候選材料包括氧化鈦(Ti〇2)、氧化錯(Zr02)、氧化艇 (2〇5)以及鋇與銘鈦氧化物((Ba,Sr)Ti03)。 上述同k ;丨電質有關的共同問題是它們在正常製備情 况下會產生晶系結構。結果,膜表面非常粗糙。表面粗糙 引2毗郴於介電膜通道區域之不均勻電場。此種介電膜不 適合作為金屬氧化物半導體場效應電晶體(M〇SFET)裝置 之閘極介電質。 · -4-
五、發明説明(2 ) 因為高直接隧道效應電流之故, 矽膜不能用作互補全屬a ' •不米的二氧化
補i屬虱化物半導體(C 介電質。現在尋找- 4j4、 以)展置的閘杈 一 寸找一虱化矽替代品的嘗試中,以 氧化鈕吸引最多的注意。古、、西 " ^ ^ ^ ^ ^ ΛΑ, j疋阿,皿後沈積退火,以及二氧 化石夕界面層的形成,使同等二氧 而)要㈣少於1-5奈米是非常困難的。(门專尽度 如果咼k介電膜可以用作全屬 作金屬虱化物+導體(MOS)電晶 體之閘極與底下通道區域的绍 、匕A的珑緣位障,那將會是 如果改良的高k介電材料可以蕻由简„„从协 ' 一 %刊7叶j以猎由間早的摻雜,或者是 ,見存之冋k "電材料中添加額外的元素來形成,那將會 是個優點。 如果高k介電材料之電氣性質,包含電子親和力,可以 藉由隨單的摻雜’或者是在現存之高[介電材料中添加額 外的元素來改變,那將會是個優點。 發明摘要 如前所述,提供一具有高介電常數(1〇至25)之薄膜。此 膜含有摻雜金屬與氧,其中金屬選自由鍅(Zr)與铪(Hf)組 成之族群。摻雜金屬以鋁(A1)、銃(Sc)、鑭(La),或釔(γ) 等二價金屬較佳,或者是二價金屬,如鈣(Ca)或勰(Sr)。 藉由選擇摻雜金屬,有可能變化沈積之介電材料的電子 親和力。而藉由改變電子親和力,可能變化電子的位障高 度與電洞的位障高度。因此,本發明允許我們修改介電膜 的電子親和力’同時產生具有比二氧化矽之介電常數高的 膜。此外’既然摻雜金屬的存在減少或消除晶系結構的形 -5- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 五、發明説明(3 ’摻雜金屬的存在傾向於產生非晶系的介電材料。 本發明提供以氧化記(Υ2〇3)、氧化約(Ca〇2)、氧化銘 A 、氧化鑭(La2〇3)、鑭(La)與銘⑽來安定之氧化結 (纟另一具體實施例中’提供_ 為介電材料。 通常膜中摻雜金屬之百分比不超過約游”在—些應用 中’摻雜金屬之百分比少於約1〇%,在此情況下產生之膜 可能不是非晶系的。 、 約20至200埃之厚度 。也提供金屬氧化物半導體場效應電晶體(m〇sfe乃。此 電晶體包含-閘極,一於該閘極之下具有上表面之通道區 或以及’丨於閘極電極與通道區域上表面之間的閘極介電 :N電膜的内合如上所述。通常,閘極介電膜具有範圍 人本發明之一些態樣尚包含具有一介面位障之電晶體,該 介面位障介於通道區域與閘極介電膜之間,厚度約為^至^ 埃之範圍。此介面材料係選自由氮化矽與氮氧化矽組成之 私群,藉以使通道區域之上表面製作得更平滑,以避免金 屬氧化物半導體場效應電晶體(MOSFET)之電子遷移率的 退化。 在具有表面之積體電路(IC)的製造中,也提供一濺鍍方 法以於積體電路表面形成金屬氧化物膜。此一方法包括+ 驟: / a) 建立一含有氧之非晶系; b) 在積體電路(1C)之矽晶表面濺鍍至少一種目標金 519760 A7 __ _ B7 五、發明説明(4 ) ’以及一種摻雜金屬,該目標金屬選自含有锆(Zr)與铪 (Hf)之族群,而摻雜金屬則諸如鈣(Ca)、勰(Sr)、鋁(μ)、 銃(Sc)、鑭(La)或釔(Y); 0因應步驟a)與b),形成摻雜金屬氧化物膜;以及 d)於約攝氏400至900度範圍做退火熱處理,藉以形成 具有高介電常數與良好絕緣性質之薄膜。 本發明之一些態樣中,步驟a)包括個別目標之共同濺鍍 ’包括選自由锆(Zr)與給(Hf)組成之族群的第一種目標金 屬’以及在氧化之氣壓中摻雜金屬的第二種目標。 或者是提供沈積摻雜金屬氧化物膜之化學氣相沈積 (CVD)方法,其步驟包括·· a) 製備至少一種母體,其中含有選自由錘(Zr)與铪(Hf) 組成之族群的金屬,以及摻雜金屬; b) 蒸發該母體; c) 建立一含氧之氣壓; d) 藉由化學氣相沈積(CVD),在積體電路(ic)表面上分 解該母體以沈積成一種合金膜,此膜含有選自由锆(Zr)與 給(Hf)組成之族群的金屬’推雜金屬’與氧;以及 e) 於約攝氏400至900度範圍做退火熱處理,藉以形成 具有高介電常數與良好位障性質之薄膜。 在另一個具體實施例中,使用亦稱為原子層沈積(A;LD) 之原子層化學氣相沈積(ALCVD),作為一種沈積摻雜金屬 氧化膜的方法。原子層化學氣相_沈_ (ALC VD)方法包括步 驟: 本紙張尺度適用中國國家標準(CNS) A4規格(21〇x297公釐) a) 製備第一種母體,其中含有選自由錯與铪(Hf)組 成之族群的金屬; b) 条發第一種母體,並使積體電路(IC)表面曝露於該 ,體中,藉以將金屬之一層,以單層較佳,以化學之方法 吸附到表面,利用原子層化學氣相沈積(ALCVD)沈積金屬 層; c) 製備氧元素母體; 斤d)洛發氧兀素母體,並使積體電路(IC)表面曝露於該 =元素母體中,藉以將氧元素之一層,以單層較佳,以化 子之方法吸附到表面,利用原子層化學氣相沈積(alcvd) 沈積氧元素層; e)製備一含有摻雜金屬之摻雜金屬母體; 0瘵發摻雜金屬母體,並使積體電路(IC)表面曝露於該 払雜,屬母體中,藉以將彳參雜金屬之一層,以單層較佳, 、化子之方法吸附到表面,利用原子層化學氣相沈積 (ALCVD)沈積摻雜金屬層;以及 g)於約攝氏300至900度範圍做退火熱處理以調節沈積 層,藉以形成具有高介電常數與良好位障性質之薄膜。 需要時,藉由重複步驟,可以沈積介電質中各材料之多 層,繼而一個,或更多的其他組元層。所以舉例來說,可 於沈積幾層錯後,沈積氧元素,接著沈積摻雜金屬。然後 重複此一製程,直到沈積介電材料的總厚度,在氧化锆介 電材料中,具有所需之摻雜金屬數章。 還有在另一具體實施例中,提供沈積摻雜金屬氧化物膜 -8- 519760 A7 _________B7 五、發明説明(6 ) 之氣相沈積方法,其中包括步驟: a) 建立向度真空(無氣體)之氣壓,其值介於約1χ1〇-6與 1 χ 10·8托(Torr)之間; b) 製備至少一種坩堝,其中含有選自由錘(Zr)與銓(Hf) 組成之族群的金屬,以及摻雜金屬; c) 加熱至少一個坩堝至約攝氏1〇〇〇至2〇〇〇度之範圍, 以蒸發於步驟b)中製備之金屬; d) 因應於步驟a)至c),沈積一合金膜,此膜含有選自由 锆(Z〇與铪(Hf)組成之族群的金屬,以及摻雜金屬;以及 e) 於約攝氏400至900度範圍,在含氧之氣壓中做退火 熱處理,以形成含氧金屬膜,藉以形成具有高介電常數與 良好位障性質之薄膜。 圖式簡單說明 圖1是一流程圖,說明本發明摻雜金屬氧化物膜之濺鍍 沈積方法。 圖2說明完成使用本發明製造之電晶體的一個步驟。 圖3說明完成使用本發明製造之電晶體的一個步驟。 圖4是一流程圖,說明形成本發明摻雜金屬氧化物膜之 化學氣相沈積(CVD)方法的步驟。 圖5是一流程圖,說明形成本發明摻雜金屬氧化物膜之 原子層化學氣相沈積(ALCVD)方法的步驟。 圖6是一流程圖,說明形成摻雜金屬氧化物膜之蒸發方 法的步驟。 發明詳細說明
A7 B7 五、發明説明( _ f發明研究摻雜氧化錘。次微米之P型金屬氧化物半導 ^ ^效應電晶體(PM0SFE丁)已用錯氧化物(Zr-Ο)作閘極介 二=來=造,並具有極佳之特性。簡單地說,已經發現以 二價或三價摻雜金屬摻雜氧化鍅(Zr〇2)膜,是控制此膜的 包氣特〖生’特別是電子親和力,之能力的原因。 二^化矽,本發明是一具有高介電常數的薄膜,其 — 仏或一 ^貝的摻雜金屬,選自由錯(Zr)與給(Hf) 組成之族群的金屬,以及氧。摻雜金屬以鋁(A1)、銃(Sc) 、鑭(La),或釔(γ)等三價金屬較佳,或者是二價金屬, 如鈣(Ca)或鳃(sr)。 在一些有用的應用中,薄膜通常具有2〇至2⑽埃範圍之 厚度’介電常數約10至25之範圍。 此膜中摻雜金屬的百分比,通常不超過約5〇〇/0。 圖1是一流程圖,說明本發明之摻雜金屬氧化物膜的濺 鍍沈積方法。步驟10提供一具有表面之積體電路(IC)。步 驟?建立一含氧之氣壓。通常步驟12包含亦含有氬氣⑽ 之氣壓,其中氧對氬的比例約為5至25%。壓力約為i至i〇 笔托(mT〇rr)。步驟14在ic表面上濺鍍至少一種選自由锆 (Zr)與铪(Hf)組成之族群的目標金屬。步驟14亦將摻雜金 屬濺鍍至積體電路(ic)表面。摻雜金屬以鋁(A1)、銃(Sc) 、鑭(La),或釔(Y)等三價金屬較佳,或者是二價金屬, 如鈣(Ca)或鋰(Sr)。在本發明之一些態樣,步驟14包括個 別目標之共同濺鍍,包括選自*錯(Zr)與铪(Hf)組成之族 群的第一種目標金屬,以及含有摻雜金屬之第二種目標。 -10- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 519760 A7 B7 五、發明説明(9 介於通道區域52與閘極介電膜56之間,具有範圍約2至5埃 之厚度64。介面位障62包含選自由氮化矽與氮氧化矽組成 之族群之材料,藉以使通道區域上表面54做得更平滑,以 增加金屬氧化物半導體場效應電晶體(M〇sfe丁)5〇之電子 遷移率。 圖3說明介於閘極58與通道區域上表面54之間的閘極介 電膜56。閘極介電膜56相對於二氧化矽,具有高介電常數 ,且含有一選自由鍅(Zr)與銓(Hf)組成之族群的金屬,以 及氧。閘極介電膜56含有摻雜金屬。摻雜金屬以鋁(A1)、 銳(Sc)、鑭(La),或釔(Y)等三價金屬較佳,或者是二價金 屬,如鈣(Ca)或鏍(Sr)。摻雜金屬之百分比,在膜%中範 圍約為0至50%。膜56中的鋁(A1)百分比以約25%較佳。閘 極介電膜56具有範圍約為2〇至2〇〇埃之厚度6〇 (圖3)。閘極 介電膜56具有範圍約為10至25之介電常數。 訂 在大部分互補金屬氧化物半導體(CM〇s)裝置應用的閘 極介電質情況中,晶圓使用任何技術狀態之傳統方法處理 ,如隔離,繼而形成P型井與N型井以曝光通道區域。一 極薄層之氧化位障仍然是有需要的。在此情況下,可能的 位P平包括氮化矽與氮氧化矽。其次是沈積高1^介電質。 許多製備此膜的方法: A·在惰性亂體或氧化環境中,鍅(Zr)與摻雜金屬之丑 濺鍍; / j .B.在惰性氣體或氧化環境中,共同藏鑛合成目標,諸 锆鋁(Zi-Al); 12- x 297^57 本紙張尺度適_國國冢標準(CNS) △4規;^^ 519760
C.錯銘氧化物(Zr_A1-〇)或铪鋁氧化物(Hf_A1-〇)之化學 氣相沈積;.或者是 D ·蒸發。 緊接於沈積之後,在升高的溫度(攝氏4〇〇至9〇〇度)下, 此膜於惰性氣體(如氬氣、氮氣、氫氣),以及/或是在氧化 (^氧乳、水、氧化亞氮、氧化氮,與無氣體(真空))環境氣 壓中,調節高k膜與高k/矽晶介面。可是,如果此膜是由 瘵發沈積而成,退火熱處理過程通常含有氧氣,以將氧元 素包含於合金膜。 緊接於退火熱處理之後,沈積閘極並圖案化成閘極堆疊 。閘極材料可以是金屬或是多晶石夕。然後,使用任何技術 狀態之裝置製造過程,以傳統方法完成裝置,或者是以閘 極置換方法,而.使用氮化物、多晶矽,或多矽鍺㈣仿 閘。 圖4是一流程圖,說明形成本發明摻雜金屬氧化物膜之 化學氣相沈積(CVD)方法的步驟。步驟1〇〇提供一具有表 面之積體電路(1C)。·步驟102製備至少—種母體,其中含 有一選自由锆(ΖΓ)與姶(Hf)組成之族群的金屬,以;^摻雜 金屬。步驟102含有摻雜金屬。此一摻雜金屬以鋁(A1)、 銃(Sc)、鑭(La),或釔(Y)等三價金屬較佳,或者是二價金 屬,如mCa)或錄(Sr)。在本發明的一些態樣中,步驟、ι〇2 包含第-種母體與第二種母體’纟中第—種母體含有一選 自由錯(ZO與給(Hf)組成之族群的金屬,而第二種母體列 含有摻雜金屬。步驟104蒸發至少一種母體。步驟1〇6建立
裝 訂 -13-
519760 A7 ______ B7 五、發明説明(14~)~" 複或變化次序以產生所需之介電材料。一般來說,重複的 要求是因為與原子層化學氣相沈積(ALCVD)有關之單層沈 積。在原子層化學氣相沈積(ALcVD)之技術中,即使是後 來的層使用相同的母體,各個母體以介於連續層間棑出較 佳,此已為吾人所熟知。母體應宜以充分之材料作脈衝激 發’以便於積體電路(Ic)表面產生材料之單層。 步驟160緊接於沈積之後,對介電材料做退火熱處理, 最後再用其下面之材料調節介電材料與介面。 步驟1 62係關於最後之高介電常數膜。 步驟152與156在本發明之另一具體實施例中,雖然以截 然不同的步驟顯現,該二母體可同時引進。 圖6是一流程圖,說明形成摻雜金屬氧化物膜之蒸發方 法的步驟。步驟.200提供一具有矽晶表面之積體電路(IC) 。步驟202製備至少一種坩堝,其中含有選自由錯(z幻與 給(Hf)組成之族群的金屬,以及摻雜金屬。摻雜金屬以銘 (A1)、銃(Sc)、彌(La),或釔(γ)等三價金屬較佳,或者是 一 4貝金屬,如i弓(Ca)或錄(Sr)。步驟2〇4建立一真空(無氣 體)氣壓。步驟206加熱至少一個坩堝至約攝氏1〇〇〇至2〇〇〇 度範圍之掛禍溫度’以蒸發於步驟2 〇 2中製備之金屬。因 應於步驟2 02至2 06’步驟208沈積一合金膜,此膜含有選 自由錯(Zr)與铪(Hf)組成之族群的金屬,以及摻雜金屬。 步驟210於約攝氏400至800度範圍,在含有氧之氣壓中做 退火熱處理,以形成含氧金屬膜,屿膜含有選自由錯(Zr) 與給(H f)組成之族群的金屬、推雜金屬,以及氧。步驟 -17- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 一 "'~

Claims (1)

  1. 519760 A B c D 第090116500號專利申請案 中文申請專利範圍修正本(91年1〇月) 六、申請專利範圍 1 · 一種具有高介電常數之薄膜,係關於二氧化矽,其中包 括: a) —摻雜金屬; b) 一選自由銼(Zr)與铪(Hf)組成之族群的金屬;以及 c) 氧,藉以形成高介電膜。 2·如申請專利範圍第1項之薄膜,其中摻雜金屬為三價金 屬’選自由链(A1)、銃(Sc)、鑭(La),與釔(Y)組成之族 群0 3 ·如申請專利範圍第1項之薄膜,其中摻雜金屬為二價金 屬’選自由鈣(Ca)或鏍(sr)組成之族群。 4 ·如申明專利範圍第1項之薄膜,其中該薄膜具有範圍約 為20與200埃之厚度。 5·如申請專利範圍第丨項之薄膜,其中該薄膜具有範圍約 為10至25之介電常數。 申w專利範圍第1項之薄膜,其中該膜掺雜金屬之百 分比不超過約50%。 7·如申π專利範圍第6項之薄膜,其中該膜摻雜金屬之百 分比約為25%。 8·—種金屬氧化物半導體場效應電晶,包括: a) —閘極電極; )八有上表面之通道區域,該上表面位於閘極電極 下面;以及 幻介於該閘極電極與通道區域上表面之間的閘極介 電膜’其相對於二氧化矽,具有高介電常數,並含有一 本紙張尺度適财目目家標準 選自由錯(Zr)與給(Hf)組成之族群的金屬,與含有氧, 另外尚含有一摻雜金屬。 9·如申請專利範圍第8項之電晶體,其中該摻雜金屬包含 選自由銘(A1)、銳(Sc)、鑭(La),與釔(Y)組成之族群 的三價金屬。 10·如申请專利範圍第8項之電晶體,其中該摻雜金屬包含 一選自由鈣(Ca)或鳃(Sr)組成之族群的二價金屬。 11 ·如申哨專利範圍第8項之電晶體,其中該膜摻雜金屬之 百分比約為〇至50%之範圍。 12·如申請專利範圍第u項之電晶體,其中該膜摻雜金屬之 百分比約為25%。 13·如申請專利範圍第8項之電晶體,#中該閘極介電膜具 有範圍約為20與200埃之厚度。 、/、 14·如申請專利範圍第8項之電晶體,纟中該閘極介電膜呈 有範圍約為10至25之介電常數。 、/、 !5·如^請專利範圍第8項之電晶體,尚包括一介於該介面 位障與閘極介電膜之間,具有範圍約為2至5埃厚度之介 面位障,該介面位障含有選自氮切與氮氧切=二 族群的㈣,藉以使該通道區域之上表面較平滑,, 加金屬氧化物半導體場效應電晶體(m〇sfe丁)之電^ 移率。 j 造中於積體電路表 ,包括下列步驟: 1 6.種在具有表面之積體電路(Ic)的製 面上形成一摻雜金屬氧化物膜之方法 a)建立一含氧之非晶系; b) 在積體電路(1C)之矽晶表面濺鍍至少一目標金屬 "‘金屬選自含有錯(Zr)與铪(Hf)之族群,以及含 有一摻雜金屬; c) 因應步驟a)與b),形成摻雜金屬氧化物膜;以及 、)於力攝氏4〇〇至9〇〇度範圍做退火熱處理,藉以形 成具有高介電常數與良好位障性質之薄膜。 申叫專利範圍第16項之方法,其中該積體電路⑼)表 面保持約為室溫與攝氏400度之間的溫度範圍。 以·”請專利範圍第16項之方法,其中步驟包含含有氬 氣(Ar)之氣壓,其中氧對氬的比例約為5至2 $ %,而壓 力範圍約為1至10毫托(mT〇n〇。 19·如申請專利範圍第16項之方法,其中步驟包含建立一 氣壓,該氣壓含有選自由氬氣(Ar)、氮氣(Nj、氫氣 (h2)、氧氣(02)、水(h2〇)、一氧化二氮(N2〇,笑氣)、 一氧化氮(NO)、無氣體,以及氧等離子體所組成之成 分。 20·如申請專利範圍第16項之方法,其中該摻雜金屬為一選 自由鋁(A1)、銃(Sc)、鑭(La),與釔(γ)組成之族群的三 價金屬。 21·如申請專利範圍第16項之方法,其中該摻雜金屬為一選 自由鈣(Ca)或鏍(Sr)組成之族群的二價金屬。 22.如申請專利範圍第16項之方法,其中步驟b)包括個別目 標之共同濺鍍,包括選自由錯(Zr)與姶組成之族群 的第一種目標金屬,以及含有三價金屬之第二種目標。 519760
    23·如申凊專利範圍第16項之方法,其中步驟b)包括個別目 私之共同濺鍍,包括選自由锆與铪(Hf)組成之族群 的第一種目標金屬,以及含有二價金屬之第二種目標。 24·種在具有表面之積體電路(ic)的製造中形成摻雜金屬 氧化物膜之方法,包括下列步驟: a) 製備至少一母體,其中含有選自由鍅(Zr)與铪(Hf) 組成之族群的金屬,以及摻雜金屬; b) 蒸發至少一母體; c) 建立一含氧之氣壓; d) 藉由化學氣相沈積(cvd),在積體電路(1C)表面上 分解該母體以沈積成一合金膜,此膜含有選自由錯(Zr) 與铪(Hf)組成之族群的金屬,摻雜金屬,與氧;以及 e) 於約攝氏400至900度範圍做退火熱處理,藉以形 成具有1¾介電常數與良好位障性質之薄膜。 25·如申請專利範圍第24項之方法,於步驟〇之前,尚包括 建立一積體電路(1C)表面溫度,其範圍約為攝氏3〇〇與 500度之間。 26·如申請專利範圍第24項之方法,其中步驟c)包含含有氬 氣(Ar)之氣壓,其中氧對氬的比例約為5至25〇/(),而其 中壓力範圍約為1至10托(Τοη〇。 27·如申請專利範圍第24項之方法,其中步驟e)包含建立一 氣壓,該氣壓含有選自由氬氣(Ar)、氮氣(NO、氫氣 (Η2)、氧氣(02)、水(Η20)、一氧化二氮(wo,笑氣)、 一氧化氮(NO)、無氣體,以及氧等離子體所組成之成 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)— -:----- 519760 A8 B8 C8 D8 、申請專利範圍 分。 28.如申請專利範圍第24項之方法,其中該摻雜金屬為一選 自由鋁(A1)、銃(Sc)、鑭(La),與釔(Y)組成之族群的三 價金屬。 29·如申請專利範圍第24項之方法,其中摻雜金屬為一選自 由鈣(Ca)或勰(Sr)組成之族群的二價金屬。 30.—種在具有表面之積體電路(1C)的製造中形成摻雜金屬 氧化物膜之方法,包括下列步驟: a) 製備第一母體,其中含有選自由锆(Ζι*)與铪(Hf)組 成之族群的金屬; b) 蒸發第一母體,並使積體電路(1C)表面曝露於該 母體中,藉以將金屬之一層,以單層較佳,以化學之方 法吸附到表面,利用原子層化學氣相沈積(ALCVD)沈積 金屬層; c) 製備氧元素母體; d) 蒸發氧元素母體,並使積體電路(1C)表面曝露於 該氧元素母體中,藉以將氧元素之一層,以化學之方法 吸附到表面,利用原子層化學氣相沈積(ALCVD)沈積氧 元素層; e) 製備一含有摻雜金屬之摻雜金屬母體; f) 蒸發摻雜金屬母體,並使積體電路(1C)表面曝露 於該摻雜金屬母體中,藉以將摻雜金屬之一層,以化學 之方法吸附到表面,利用原子層化學氣相沈積(ALCVD) 沈積摻雜金屬層;以及 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    裝 線
    519760 申請專利範園 g)於約攝氏300至900度範圍做退 沈積層,藉以形成具有高介 …、处理以調節 薄膜。 ^數與良好位障性質之 31·如申請專利範圍第3〇項之方法, 七 ^ ^ 尚包括於處理期問,淨 立一積體電路(1C)表面溫度, 』間,建 度之間。 X其耗圍約為攝氏1〇〇與700 32. 如申請專利範圍第3〇項之方法 如,ΛΙ、. 八穆雜金屬為一撰 自由鋁(A1)、銃(Sc)、鋼(La), 、 價金屬β Ά⑺組成之族群的三 33. 如申請專利範圍第3〇項之方法,其中推雜金屬為 由_(Ca)或銷(Sr)組成之族群的二價金屬。 34. 如申請專利範圍第3〇項之方法,其中第—母體是氣化錯 (ZrCl4) 〇 35·如申請專利範圍第3〇項之方法,其中氧母體是水(仏〇)。 36.如申請專利範圍第3〇項之方法,其中摻雜金屬母體選自 由氯化鋁(A1Cl3)、三甲基鋁(A1(CH3)3),與三(乙醯丙酮 基)铭(Al(acac)3)組成之族群。 3 7·—種在具有表面之積體電路(IC)的製造中形成摻雜金屬 氧化物膜之方法,包括下列步驟: a) 製備至少一坩堝,其中含有選自由鍅(Zr)與铪(Hf) 組成之族群的金屬,以及摻雜金屬; b) 建立一真空氣壓; 之 c) 加熱至少一個坩堝至約攝氏1000至2000度範圍 掛禍溫度,以蒸發於步驟a)中製備之金屬; -6- 本紙國家標準(CNS)^格(咖Χ 297石 J^76〇 A8 B8
    d) 因應於步驟a)至c),沈積一合金膜,此膜含有選 自由锆(Zr)與铪(Hf)組成之族群的金屬,以及摻雜金屬 :以及 e) 於約攝氏400至900度範圍,在含氧氣壓中做退火 熱處理,以形成含氧金屬膜,此膜含有選自由錯(2;〇與 铪(Hf)組成之族群的金屬、摻雜金屬,以及氧,藉以形 成具有高介電常數與良好位障性質之薄膜。 38·如申請專利範圍第37項之方法,其中步驟a)包含第一坩 堝與第二坩堝,該第一坩堝供選自由錯(Zr)與铪(Hf) 組成之族群的金屬之用,第二坩堝則供摻雜金屬之用 ,而且其中步驟c)包含加熱第一坩堝至約攝氏ι〇⑻至 2000度之範圍,以及加熱第二坩堝約攝氏1〇〇〇至2〇⑻度 之範圍。 39.如申請專利範圍第38項之方法,其中該摻雜金屬為一選 自由鋁(A1)、銃(Sc)、鑭(La),與釔(γ)組成之族群的三 價金屬。 40·如申請專利範圍第38項之方法,其中摻雜金屬為一選自 由鈣(Ca)或鏍(Sr)組成之族群的二價金屬。 41·如申請專利範圍第37項之方法,其中步驟匀包含建立一 氣壓,其中含有選自由氬氣(Ar)、氮氣(仏)、氫氣汩2) 、氧氣(〇2)、水(Η20)、一氧化二氮π",笑氣)、一氧 化氮(NO)、無氣體,以及氧等離子體所組成之成分。 裝 訂 線
    519760 第090116500號專利申請案 中文圖式修正頁(91年10月) 瓦條 年月曰i
    6
TW090116500A 2000-07-06 2001-07-05 Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same TW519760B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/611,356 US6297539B1 (en) 1999-07-19 2000-07-06 Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same

Publications (1)

Publication Number Publication Date
TW519760B true TW519760B (en) 2003-02-01

Family

ID=24448700

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090116500A TW519760B (en) 2000-07-06 2001-07-05 Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same

Country Status (5)

Country Link
US (1) US6297539B1 (zh)
EP (1) EP1179837A3 (zh)
JP (1) JP3761419B2 (zh)
KR (1) KR20020005432A (zh)
TW (1) TW519760B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111129160A (zh) * 2019-12-17 2020-05-08 西交利物浦大学 基于氧化锆和氧化镧的透明薄膜晶体管器件及其制备方法

Families Citing this family (393)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020145129A1 (en) * 1998-08-14 2002-10-10 Yun Sun-Jin High luminance-phosphor and method for fabricating the same
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6528153B1 (en) 1999-09-30 2003-03-04 Novellus Systems, Inc. Low dielectric constant porous materials having improved mechanical strength
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20060001064A1 (en) * 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
US6849305B2 (en) 2000-04-28 2005-02-01 Ekc Technology, Inc. Photolytic conversion process to form patterned amorphous film
US20040191423A1 (en) * 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1256638B1 (en) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Method of forming a multi-components thin film
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6541331B2 (en) * 2001-08-09 2003-04-01 International Business Machines Corporation Method of manufacturing high dielectric constant material
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US6472337B1 (en) * 2001-10-30 2002-10-29 Sharp Laboratories Of America, Inc. Precursors for zirconium and hafnium oxide thin film deposition
DE10153288A1 (de) * 2001-10-31 2003-05-15 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement
DE10156932A1 (de) * 2001-11-20 2003-05-28 Infineon Technologies Ag Verfahren zur Abscheidung dünner Praseodymoxid-Schichten mittels ALD/CVD-Verfahren
US6667246B2 (en) * 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6563183B1 (en) * 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6617209B1 (en) * 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US7323422B2 (en) * 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7087480B1 (en) * 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
US6627556B1 (en) * 2002-04-24 2003-09-30 Lsi Logic Corporation Method of chemically altering a silicon surface and associated electrical devices
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
DE60321271D1 (de) * 2002-06-10 2008-07-10 Imec Inter Uni Micro Electr Transistoren und Speicherkondensatoren enthaltend eine HfO2-Zusammensetzung mit erhöhter Dielektrizitätskonstante
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6970370B2 (en) * 2002-06-21 2005-11-29 Micron Technology, Inc. Ferroelectric write once read only memory for archival storage
US6804136B2 (en) 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US7326988B2 (en) * 2002-07-02 2008-02-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US7221017B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US6664156B1 (en) 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6787421B2 (en) 2002-08-15 2004-09-07 Freescale Semiconductor, Inc. Method for forming a dual gate oxide device using a metal oxide and resulting device
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
DE10239869A1 (de) * 2002-08-29 2004-03-18 Infineon Technologies Ag Verbesserung der dielektrischen Eigenschaften von Schichten aus High-k-Materialien durch Plasmabehandlung
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6770536B2 (en) * 2002-10-03 2004-08-03 Agere Systems Inc. Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6787440B2 (en) * 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US6762114B1 (en) * 2002-12-31 2004-07-13 Texas Instruments Incorporated Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness
US7015088B2 (en) * 2002-12-31 2006-03-21 Texas Instruments Incorporated High-K gate dielectric defect gettering using dopants
JP2004241612A (ja) 2003-02-06 2004-08-26 Fujitsu Ltd 半導体装置及びその製造方法
JP2004247528A (ja) * 2003-02-14 2004-09-02 Sony Corp 半導体装置の製造方法
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US6716707B1 (en) * 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7019351B2 (en) * 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
JP4524995B2 (ja) * 2003-03-25 2010-08-18 ルネサスエレクトロニクス株式会社 半導体装置
US6902993B2 (en) * 2003-03-28 2005-06-07 Cypress Semiconductor Corporation Gate electrode for MOS transistors
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US6764927B1 (en) * 2003-04-24 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Chemical vapor deposition (CVD) method employing wetting pre-treatment
KR100773537B1 (ko) 2003-06-03 2007-11-07 삼성전자주식회사 한 개의 스위칭 소자와 한 개의 저항체를 포함하는비휘발성 메모리 장치 및 그 제조 방법
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
JP4887604B2 (ja) * 2003-08-29 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6974779B2 (en) * 2003-09-16 2005-12-13 Tokyo Electron Limited Interfacial oxidation process for high-k gate dielectric process integration
JP3790242B2 (ja) * 2003-09-26 2006-06-28 株式会社東芝 半導体装置及びその製造方法
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7012027B2 (en) * 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
JP4264039B2 (ja) * 2004-08-25 2009-05-13 パナソニック株式会社 半導体装置
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7091568B2 (en) 2004-12-22 2006-08-15 Freescale Semiconductor, Inc. Electronic device including dielectric layer, and a process for forming the electronic device
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR100682926B1 (ko) * 2005-01-31 2007-02-15 삼성전자주식회사 저항체를 이용한 비휘발성 메모리 소자 및 그 제조방법
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en) * 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
KR100718839B1 (ko) * 2005-08-31 2007-05-16 삼성전자주식회사 박막 제조 방법 및 이를 이용한 커패시터의 제조 방법
KR100670747B1 (ko) * 2005-11-28 2007-01-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조 방법
JP2006140514A (ja) * 2005-12-19 2006-06-01 Fujitsu Ltd 半導体装置及びその製造方法
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP2007266464A (ja) * 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
JP4649357B2 (ja) * 2006-03-30 2011-03-09 株式会社東芝 絶縁膜および半導体装置
KR100716654B1 (ko) * 2006-04-04 2007-05-09 주식회사 하이닉스반도체 정방정계 구조의 지르코늄산화막 형성 방법 및 그를 구비한캐패시터의 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
JP2008193005A (ja) * 2007-02-07 2008-08-21 Eudyna Devices Inc 半導体装置の製造方法
WO2008108128A1 (ja) * 2007-03-08 2008-09-12 Nec Corporation 誘電体、誘電体を用いたキャパシタ、誘電体を用いた半導体装置、及び誘電体の製造方法
US7755128B2 (en) * 2007-03-20 2010-07-13 Tokyo Electron Limited Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
JP5197986B2 (ja) * 2007-04-06 2013-05-15 ルネサスエレクトロニクス株式会社 半導体装置の製造装置
JP2009035784A (ja) * 2007-08-02 2009-02-19 Kobe Steel Ltd 酸化物皮膜、酸化物皮膜被覆材および酸化物皮膜の形成方法
EP2040300B1 (en) * 2007-09-20 2016-07-06 Imec MOSFET devices and method to fabricate them
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
JP5373619B2 (ja) * 2007-10-30 2013-12-18 ルネサスエレクトロニクス株式会社 キャパシタとそれを有する半導体装置およびキャパシタの製造方法
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
WO2009119803A1 (ja) * 2008-03-28 2009-10-01 日本電気株式会社 キャパシタとそれを有する半導体装置並びにそれらの製造方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
TWI467045B (zh) * 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
KR101547313B1 (ko) * 2008-11-25 2015-09-07 삼성전자주식회사 유전막을 포함하는 반도체 소자
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
JP5297267B2 (ja) * 2009-05-28 2013-09-25 株式会社神戸製鋼所 切削工具用または成型用金型用の耐摩耗性に優れる酸化物皮膜被覆材
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
CN102612736A (zh) * 2009-10-06 2012-07-25 瑞萨电子株式会社 半导体器件及其制造方法
US8518758B2 (en) * 2010-03-18 2013-08-27 Globalfoundries Inc. ETSOI with reduced extension resistance
JP5587716B2 (ja) * 2010-09-27 2014-09-10 マイクロンメモリジャパン株式会社 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
US20150041731A1 (en) * 2013-08-09 2015-02-12 Chung-Shan Institute of Science and Technology Armaments Bureau, Ministry of National Defense Method For Preparing Scandium-Doped Hafnium Oxide Film
KR102099881B1 (ko) 2013-09-03 2020-05-15 삼성전자 주식회사 반도체 소자 및 그 제조 방법
KR101493424B1 (ko) * 2014-01-09 2015-02-16 연세대학교 산학협력단 디스프로슘이 도핑된 하프늄 단결정 산화물 제조 방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170117282A1 (en) * 2015-10-26 2017-04-27 Intermolecular, Inc. DRAM Capacitors and Methods for Forming the Same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US10950384B2 (en) * 2017-08-30 2021-03-16 Micron Technology, Inc. Method used in forming an electronic device comprising conductive material and ferroelectric material
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10566188B2 (en) * 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200044152A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Physical vapor deposition of doped transition metal oxide and post-deposition treatment thereof for non-volatile memory applications
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102637107B1 (ko) 2018-09-18 2024-02-15 삼성전자주식회사 전자 소자 및 그 제조방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2529384B1 (fr) * 1982-06-25 1986-04-11 Thomson Csf Procede de reduction de compose en couche sur un substrat et son application a la fabrication de structure semi-conductrice a effet de champ
JP3224293B2 (ja) * 1992-12-01 2001-10-29 松下電器産業株式会社 誘電体薄膜の製造方法
JP3251462B2 (ja) * 1995-03-31 2002-01-28 ティーディーケイ株式会社 Mis半導体デバイスおよびその製造方法
JP3152859B2 (ja) * 1994-09-16 2001-04-03 株式会社東芝 半導体装置の製造方法
JPH10189921A (ja) * 1996-10-10 1998-07-21 Lucent Technol Inc 積層型フローティングゲートメモリデバイス
KR19990014155A (ko) * 1997-07-24 1999-02-25 윌리엄 비. 켐플러 고 유전율 실리케이트 게이트 유전체
US6015739A (en) * 1997-10-29 2000-01-18 Advanced Micro Devices Method of making gate dielectric for sub-half micron MOS transistors including a graded dielectric constant
US6165834A (en) * 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111129160A (zh) * 2019-12-17 2020-05-08 西交利物浦大学 基于氧化锆和氧化镧的透明薄膜晶体管器件及其制备方法
CN111129160B (zh) * 2019-12-17 2023-10-13 西交利物浦大学 基于氧化锆和氧化镧的透明薄膜晶体管器件及其制备方法

Also Published As

Publication number Publication date
US6297539B1 (en) 2001-10-02
JP3761419B2 (ja) 2006-03-29
KR20020005432A (ko) 2002-01-17
EP1179837A3 (en) 2004-02-04
EP1179837A2 (en) 2002-02-13
JP2002033320A (ja) 2002-01-31

Similar Documents

Publication Publication Date Title
TW519760B (en) Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6407435B1 (en) Multilayer dielectric stack and method
US7214994B2 (en) Self aligned metal gates on high-k dielectrics
TWI312542B (en) Atomic layer deposited titanium aluminum oxide films
US7211492B2 (en) Self aligned metal gates on high-k dielectrics
JP3703373B2 (ja) Mosfetおよびゲート誘電体の製造方法
US6821873B2 (en) Anneal sequence for high-κ film property optimization
US6989573B2 (en) Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
US6740554B2 (en) Methods to form rhodium-rich oxygen barriers
US7833865B2 (en) Method of manufacturing a semiconductor device including a LaAIO3 layer
JP2008078675A (ja) 高誘電率絶縁膜を有する半導体装置
JP2002314067A (ja) 半導体装置およびmis型電界効果トランジスタの製造方法
JP2002026319A (ja) 半導体素子のゲート形成方法
JP2008172227A (ja) 電子デバイスおよびその製造プロセス
US20150255267A1 (en) Atomic Layer Deposition of Aluminum-doped High-k Films
DE102008000003A1 (de) Halbleiteranordnungen und Verfahren zur Herstellung derselben
US7303970B2 (en) Method of fabricating dielectric mixed layers and capacitive element and use thereof
US20040169240A1 (en) Semiconductor device and method of manufacturing semiconductor device
US7351626B2 (en) Method for controlling defects in gate dielectrics
US20050112827A1 (en) High permittivity silicate gate dielectric
GB2344693A (en) Tungsten silicide nitride as an electrode for tantalum pentoxide devices
KR20030005778A (ko) Mos 트랜지스터 게이트 절연막 및 그 제조방법
JP2008135760A (ja) 半導体装置及びその製造方法
CN114400253A (zh) 半导体结构及制备方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees