TW202234140A - 極紫外光(euv)光阻的圖案化顯影方法 - Google Patents

極紫外光(euv)光阻的圖案化顯影方法 Download PDF

Info

Publication number
TW202234140A
TW202234140A TW110142177A TW110142177A TW202234140A TW 202234140 A TW202234140 A TW 202234140A TW 110142177 A TW110142177 A TW 110142177A TW 110142177 A TW110142177 A TW 110142177A TW 202234140 A TW202234140 A TW 202234140A
Authority
TW
Taiwan
Prior art keywords
plasma
substrate
patterned layer
patterning
euv
Prior art date
Application number
TW110142177A
Other languages
English (en)
Inventor
蘊 韓
彼得 凡特薩克
艾洛克 蘭傑
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202234140A publication Critical patent/TW202234140A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Analytical Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本文提供的方法用於圖案化極紫外光(EUV)(或更短波長)光阻,如金屬氧化物光阻。包含金屬氧化物光阻的一圖案化層係形成於提供在一基板上之一個以上下伏層之上,並且未被上覆於該圖案化層的遮罩所覆蓋的該圖案化層之部分係暴露於EUV或更短波長光。循環乾式製程加以接續實施以移除暴露於該EUV或更短波長光的該圖案化層之部分(即暴露部分),並且顯影該金屬氧化物光阻圖案。該循環乾式製程通常包含複數的沉積及蝕刻步驟,其中該沉積步驟藉由將基板暴露於第一電漿而將保護層選擇性沉積於該圖案化層之未暴露部分之上,並且該蝕刻步驟藉由將該基板暴露於第二電漿而選擇性蝕刻該圖案化層之該暴露部分。

Description

極紫外光(EUV)光阻的圖案化顯影方法
[相關申請案的交互參照] 本申請案主張以下優先權:美國專利非臨時申請案第17/097921號,申請於西元2020年11月13日,上述申請案的全部內容藉由引用全部於此納入。
本揭露關聯於基板之處理。具體而言,其提供用於圖案化EUV(或更短之波長)光阻的新穎系統及方法。
為了達成減小特徵尺寸,在處理系統中引入了使用極紫外光(EUV)微影的圖案化。EUV微影通常使用波長為6至16奈米(nm)或以下的光。例如,在7奈米以下節點的先進半導體裝置製造,EUV圖案化技術已被引入到生產中。儘管達成了減小的特徵尺寸,但在EUV圖案化中出現了圖案性能問題。
化學性增強光阻(CAR)已用於EUV微影,以將圖案轉移到形成在基板上的一個或多個下伏層上。儘管如此的光阻具有良好的靈敏度,但CAR的解析度受到圖案塌陷的強烈影響,隨著特徵尺寸接近奈米級,這變得越來越重要。此外,由於CAR對薄膜中成分的不均勻分佈高度敏感,因此要降低CAR的縱橫比(膜厚度/臨界尺寸)係具有挑戰性的。結果,CAR往往會獲得較差的成像性能。
金屬氧化物光阻也已用於負性EUV微影,以將圖案轉移到形成於基板上的一個或多個下伏層上。與CAR相比,金屬氧化物光阻提供膜厚度非常薄的優點,並將圖案塌陷的風險最小化。雖然是CAR的有希望的替代品,但用於形成金屬氧化物光阻的傳統製程利用濕式製程進行圖案顯影。例如,金屬氧化物光阻可以在負性光阻製程中使用濕式有機顯影劑加以顯影。雖然負性光阻可能足以圖案化線/空間特徵部及區塊,但負性光阻不能令人滿意地圖案化其他特徵部,例如孔洞或介層窗。
因此,需要一種改良的製程和方法,用於對EUV(或更短波長)微影中使用的金屬氧化物光阻進行圖案化。
本文提供改良的製程流程及方法,用於圖案化極紫外光(EUV)或更短波長光阻。更具體而言,本文提供改良的製程流程及方法,用於圖案化金屬氧化物光阻,其可能使用於EUV(或更短波長)微影之中以將圖案轉印至形成在基板上之一個或多個下伏層之上。在所揭示的製程流程及方法之中,包含金屬氧化物光阻的一圖案化層係形成於提供在一基板上之一個以上下伏層之上,並且未被上覆於該圖案化層的遮罩所覆蓋的該圖案化層之部分係暴露於EUV或更短波長光。在該金屬氧化物光阻之暴露部分之內,EUV或更短波長暴露將有機配位體與金屬氧化物結構(例如,籠或鏈)分隔,並且保持該金屬氧化物光阻之未暴露部分不改變。在EUV或更短波長暴露之後,實施烘烤製程以將自該金屬氧化物光阻之暴露部分釋放的該有機配位體釋出,並且使用電漿製程以移除(例如,蝕刻)該暴露部分,進而顯影該金屬氧化物光阻圖案。
本文所述之電漿製程可能使用複數沉積及蝕刻步驟,以顯影該金屬氧化物圖案。在若干實施例之中,烴或氟碳基礎電漿可能加以使用於沉積步驟之中,以選擇性沉積一保護層(或膜)於該金屬氧化物光阻之該未暴露部分之上。在該蝕刻步驟期間,氫或鹵素基礎電漿可能係用以將該金屬氧化物光阻之該暴露部分之表面選擇性轉化為揮發性材料(例如,金屬氫化物、鹵化物、或氯化物),其可藉由例如離子轟擊加以移除。選擇性沉積在該金屬氧化物光阻之該未暴露部分上的該保護層能保護該未暴露部分免於侵蝕,而在該蝕刻步驟期間該金屬氧化物光阻之該暴露部分係加以選擇性蝕刻。在若干實施例之中,本文描述的電漿顯影製程可能以循環方式繼續,重複該選擇性沉積及選擇性蝕刻步驟,直到該金屬氧化物光阻之該暴露部分係完全移除。
根據一實施例,提供一種圖案化基板的方法。在此實施例之中,該方法可包含:在該基板之上形成一圖案化層以及一層以上的下伏層,其中該圖案化層包含一金屬氧化物光阻;以及實施一極紫外光(EUV)或更短波長的微影步驟,其中未被一上覆遮罩所覆蓋的該圖案化層之部分係暴露於EUV或更短波長光。再者,該方法可能包含:實施一循環乾式製程以移除暴露於該EUV或更短波長光的該圖案化層之該部分,並且顯影一金屬氧化物光阻圖案。
在若干實施例之中,該循環乾式製程可包含:藉由將該基板暴露於一第一電漿而選擇性沉積一保護層於該圖案化層之未暴露部分之上;藉由將該基板暴露於一第二電漿而選擇性蝕刻該圖案化層之暴露部分;以及重複該選擇性沉積步驟以及該選擇性蝕刻步驟,直到該圖案化層之該暴露部分係完全移除。該圖案化層之該未暴露部分係由該上覆遮罩加以覆蓋並且未暴露於該EUV或更短波長光的部分。另一方面,該圖案化層之該暴露部分未被該上覆遮罩加以覆蓋,並且係暴露於該EUV或更短波長光。
該第一電漿及該第二電漿可利用一廣範圍的前驅物氣體。在若干實施例之中,該第一電漿可能包含一烴或氟碳基礎前驅物氣體。在若干實施例之中,該第二電漿可包括含氫或鹵素前驅物氣體以及惰性氣體。
每次實施該選擇性蝕刻步驟時,該含氫或鹵素前驅物氣體將該圖案化層之該暴露部分之一表面轉化為揮發性材料,並且該惰性氣體之離子對該基板之一表面轟擊以自該暴露部分移除該揮發性材料。每次實施該選擇性沉積步驟時,一新的保護層加以沉積於該圖案化層之該未暴露部分之上。
根據另一實施例,提供另一種圖案化基板的方法。在此實施例之中,該方法可包含:在該基板之上形成一圖案化層以及一層以上的下伏層,其中該圖案化層包含金屬氧化物光阻;以及將未被上覆於該圖案化層之一遮罩所覆蓋的該圖案化層之部分暴露於極紫外光(EUV)或更短波長光。再者,該方法可包含:藉由將該基板暴露於一第一電漿而將一保護層選擇性沉積於該圖案化層之未暴露部分之上;藉由將該基板暴露於一第二電漿而選擇性蝕刻該圖案化層之暴露部分,以及重複該選擇性沉積及該選擇性蝕刻步驟,直到該圖案化層之該暴露部分係完全移除。
在若干實施例之中,該圖案化層可包含金屬氧化物材料,其包括具有化學鍵合有機配位基的金屬氧化物結構之團簇。在此等實施例之中,將未被該圖案化遮罩層所覆蓋的該圖案化層之該部分暴露於EUV或更短波長光之步驟使得該等有機配位基與該等金屬氧化物結構分離且保持該圖案化層之該未暴露部分不改變。在將未被該圖案化遮罩層所覆蓋的該圖案化層之該部分暴露於EUV或更短波長光之後並且在將該保護層選擇性沉積於該圖案化層之該未暴露部分之上之前,該方法可進一步包含實施一烘烤製程,以自該圖案化層之該暴露部分釋放該等有機配位基。
如上所載,該第一電漿及該第二電漿可利用一廣範圍的前驅物氣體。在若干實施例之中,該第一電漿可包含烴或氟碳基礎前驅物氣體。舉例而言,該第一電漿可包含CH 4、C 4F 8、C 4F 6、或CH 3F。在若干實施例之中,該第二電漿包括含氫或鹵素前驅物氣體。舉例而言,該第二電漿可包含CH 4、CF 4、CHF 3、或BCl 3。在若干實施例之中,該第二電漿可進一步包含一惰性氣體。舉例而言,該第二電漿可進一步包含氬(Ar)。當該第二電漿含有含氫或鹵素前驅物氣體及惰性氣體時,該含氫或鹵素前驅物氣體將該圖案化層之該暴露部分之一表面轉化為揮發性材料,並且惰性氣體離子轟擊該暴露部分之該表面以移除該揮發性材料。
在若干實施例之中,該將一保護層選擇性沉積於該圖案化層之未暴露部分之上之步驟以及該選擇性蝕刻該圖案化層之暴露部分之步驟係同時實施於一電漿處理室之內,使用相同的電漿前驅物氣體以產生該第一電漿及該第二電漿。在此等實施例之中,舉例而言,該第一電漿及該第二電漿各者可包含一烴前驅物及一惰性氣體。
在其他實施例之中,該將一保護層選擇性沉積於該圖案化層之未暴露部分之上之步驟以及該選擇性蝕刻該圖案化層之暴露部分之步驟係分隔於一電漿處理室之內,使得不同的電漿前驅物氣體加以使用以產生該第一電漿及該第二電漿。在此等實施例之中,舉例而言,該第一電漿可包含一烴前驅物,並且該第二電漿可包含一鹵碳前驅物及一惰性氣體。
本文提供改良的製程流程及方法,用於圖案化極紫外光(EUV)(或更短波長)光阻。更具體而言,本文提供改良的製程流程及方法,用於圖案化金屬氧化物光阻,其可能使用於EUV或更短波長微影之中以將圖案轉印至形成在基板上之一個或多個下伏層之上。本文揭示的製程流程及方法可利用一廣範圍的金屬氧化物材料,包含但不局限於:包含錫(Sn)、鉿(Hf)和鋯(Zr)的金屬氧化物。儘管本文揭露了包含Sn、Hf或Zr的金屬氧化物材料作為示例,但本文揭露的製程流程和方法可擴展到其他金屬氧化物材料和含金屬光阻。如本文所述,討論了利用EUV波長光的示例實施例。然而,這裡使用的技術不限於EUV波長。此外,這些技術對於EUV或更短波長的光可能特別有利。因此,儘管在本文的一些示例中針對EUV波長進行描述,但是所提供的技術也可以適用於EUV或更短波長的光。
在所揭露的製程流程和方法中,包括金屬氧化物光阻的圖案化層係形成在提供於在基板上的一個以上下伏層上,並且在光源和圖案化層之間未被遮罩保護的圖案化層之部分係暴露於EUV光。EUV曝露將金屬氧化物光阻的曝露部分內的有機配位基與金屬氧化物結構(例如籠或鏈)分離,同時保持金屬氧化物光阻的未曝露部分不變。在EUV曝露之後,實施烘烤製程以釋出從金屬氧化物光阻的曝露部分釋放的有機配位基,並且使用電漿製程移除(例如,蝕刻)此曝露部分以顯影金屬氧化物光阻圖案。以此方式,提供了金屬氧化物光阻的乾式電漿顯影。
本文所述的電漿製程可以使用多個沉積和蝕刻步驟來顯影金屬氧化物光阻圖案。在一些實施例中,可以在沉積步驟中使用烴或氟碳基礎的電漿,以將保護層(或膜)選擇性地沉積到金屬氧化物光阻的未曝露部分上。在蝕刻步驟期間,可使用氫或鹵素基礎的電漿將金屬氧化物光阻的暴露部分的表面選擇性地轉化為可藉由例如離子轟擊移除的揮發性材料(例如金屬氫化物、鹵化物或氯化物)。在蝕刻步驟期間,選擇性沉積在金屬氧化物光阻的未曝露部分上的保護層保護未曝露部分免受侵蝕,而金屬氧化物光阻的曝露部分被選擇性蝕刻。在一些實施例中,本文所述的電漿顯影製程可以循環方式繼續,重複選擇性沉積和選擇性蝕刻步驟,直到金屬氧化物光阻的暴露部分被完全移除。
因此,本文揭露了一種用於金屬氧化物光阻的新穎電漿顯影製程,用於先進的EUV圖案化。電漿顯影製程允許藉由精確的電漿製程控制在分子/原子層級之選擇性沉積和選擇性蝕刻。除了其他電漿製程參數之外,在選擇性蝕刻步驟中電漿前驅物被選擇用於選擇性地將 EUV 活化區域的表面(即,金屬氧化物光阻的暴露部分)轉化為更易揮發的材料(例如,金屬氫化物、鹵化物、或氯化物),並在選擇性沉積步驟中在未活化區域(即,金屬氧化物光阻的未曝露部分)上選擇性沉積保護層。在一些實施例中,本文揭露的電漿處理步驟可以在電漿處理室內同時實施,使用相同的電漿前驅物用於沉積和蝕刻步驟二者。在其他實施例中,電漿處理步驟可以在電漿處理室內加以分隔開,從而可以使用不同的電漿前驅物以實施沉積和蝕刻步驟。
圖1A-1F示出了根據本文揭露的技術而圖案化EUV金屬氧化物光阻的改進製程流程的一個實施例。將認識到,圖1A-1F所示的實施例僅是例示性的,並且本文描述的技術可以應用於其他製程流程。
如圖1A所示,基板100包括形成在一個或多個下伏層(例如硬遮罩層106、犧牲碳層104、和基底基板102)上方的圖案化層108。基底基板102可以是圖案特徵之使用為可取的任何基板。例如,基底基板102可以是其上形成有一個或多個半導體處理層的半導體基板。在一個實施例中,基底基板102可以是已經經歷了多個半導體處理步驟的基板,這些步驟產生了各種結構和層,所有這些在基板處理領域中都是已知的。
硬遮罩層106和犧牲碳層104可以由本領域已知的多種材料中的任何一種加以形成。在一實施例中,硬遮罩層106可以是旋塗玻璃(SOG)層,且犧牲碳層104可以是旋塗碳(SOC)層。然而,應當認識到,圖中描述和顯示的下伏層僅僅是例示性的,並且可以使用更多、更少或其他下伏層。
圖1A所示的圖案化層108可以由EUV微影中常用的多種材料中的任何一種形成。例如,圖案化層108可以是金屬氧化物光阻。在一些實施例中,圖案化層108可以包括含有錫(Sn)、鉿(Hf)或鋯(Zr)的金屬氧化物材料。也可以使用其他金屬氧化物材料來實現圖案化層108。在一些實施例中,可以使用含金屬的非氧化物光阻材料來實現圖案化層108。圖案化層108通常可以使用廣範圍的沉積製程之任何一者加以形成。在一些實施例中,例如,可利用旋塗製程來形成圖案化層108。然而,本文所述的技術不限於形成圖案化層108的方法。
在圖1A所示的例示實施例中,圖案化層108包括金屬氧化物材料,其包括具有化學鍵合有機配位基(L)的金屬氧化物結構(M-O)之團簇。如下文更詳細描述的,圖1B-1C中所示的製程流程將圖案化層108的部分暴露於極紫外(EUV)光以將有機配位基(L)與金屬氧化物結構(M-O)分離或釋放,並執行烘烤製程以將釋放自圖案化層108之EUV暴露部分的配位基釋出。如圖1D-1F所示,一旦有機配位基被釋放,循環乾燥製程被用於移除圖案化層108的EUV暴露部分並顯影金屬氧化物光阻圖案。
在圖1A中形成圖案化層108之後,在圖案化層108上方提供遮罩110,並在圖1B中執行EUV微影步驟。在圖1B中所示的EUV微影步驟期間,圖案化層108的暴露部分114(即,未被遮罩110保護的圖案化層108的部分)暴露於EUV光112。如圖1B所示,EUV曝露僅在圖案化層108的暴露部分114內將有機配位基(L)與金屬氧化物結構(M-O)分離,同時保持圖案化層108的未曝露部分116不變。
在圖1B中執行EUV微影步驟之後,進行曝露後烘烤(PEB)製程以將自圖案化層108的暴露部分114釋放的配位基釋出,僅在暴露部分114中留下緻密的金屬氧化物結構(M-O),如圖1C所示。在執行PEB製程之後,使用乾式製程(例如,電漿顯影製程)移除圖案化層108的暴露部分114以顯影金屬氧化物光阻圖案。
圖1D-1F示出了電漿顯影製程的一個實施例,可用於根據本文描述的技術來顯影金屬氧化物光阻圖案。如下文更詳細描述的,所揭露的電漿顯影製程通常可以包括多個沉積和蝕刻步驟。在一些實施例中,電漿顯影製程可以藉由將基板100暴露於第一電漿118以將保護層120選擇性沉積到圖案化層108的未暴露部分116上而開始,如圖1D所示。在未暴露部分116上形成保護層120後,將基板100暴露於第二電漿122以選擇性地蝕刻或移除圖案化層108的暴露部分114,如圖1E所示。保護層120保護圖案化層108的未暴露部分116免受侵蝕,而圖案化層108的暴露部分114在選擇性蝕刻步驟期間被選擇性蝕刻或移除。在一些實施例中,藉由將選擇性沉積和選擇性蝕刻步驟重複若干個循環和/或直到圖案化層108的暴露部分114被完全移除,圖1D及圖1E中所示的電漿顯影製程可以以循環方式繼續,如圖1F所示。
在圖1D所示的選擇性沉積步驟中可以使用各種電漿化學物質。在一些實施例中,第一電漿118可以使用烴或氟碳基礎的前驅物氣體化學物質來選擇性地將保護層120沉積到圖案化層108的未暴露部分116上。可以在第一電漿118內使用的烴和氟碳基礎化學物質的示例包括但不限於CH 4、C 4F 8、C 4F 6、或CH 3F。其他烴或氟碳基礎化學物質也可用於圖1D所示的選擇性沉積步驟中。
在圖1E所示的選擇性蝕刻步驟中也可以使用各種電漿化學物質。在一些實施例中,第二電漿122可以使用含氫或鹵素的前驅物氣體化學物質將暴露部分114的表面轉化為揮發性材料(例如,金屬氫化物、鹵化物、或氯化物),並且可以使用惰性氣體(例如,氬)以藉由離子轟擊選擇性蝕刻或移除揮發的表面。可在第二電漿122內使用的含氫或鹵素前驅物氣體化學物質的示例包括但不限於烴化合物(例如CH 4)、鹵碳化合物(例如CF 4、CHF 3)、和在電漿蝕刻中常用的其他鹵素基礎化學物質(例如BCl 3)。在一些實施例中,烴前驅物氣體和惰性氣體的組合可用於產生第二電漿122。在其他實施例中,第二電漿122可包括鹵碳化合物、氫、和惰性氣體的組合。
第二電漿122內包含的氫(或鹵素)成分藉由將暴露部分114內的金屬氧化物材料的表面轉化為揮發的金屬氫化物、鹵化物、或氯化物來促進蝕刻,在一個實施例中經轉化者係藉由離子轟擊加以移除。在一些實施例中,圖1E中所示的選擇性蝕刻步驟可以藉由將基板100暴露於含有含氫(或鹵素)前驅物氣體和惰性氣體(例如氬)的電漿而作為單個步驟加以實施。在其他實施例中,選擇性蝕刻步驟可以是在將基板100暴露於氬電漿之前將基板100暴露於氫(或鹵素)基礎電漿的循環製程。
儘管一個例示實施例在本文係關於氬(Ar)加以描述,但也可以使用其他惰性氣體離子來轟擊圖1D所示的選擇性蝕刻步驟中的暴露部分114的表面。例示性惰性氣體包括但不限於He、Ne、Kr和其他惰性氣體。此外,其他氣體可以與氬和/或惰性氣體結合使用。例如,因為電漿不限於僅具有氬氣或稀有氣體,可以將其他氣體添加到電漿中。例如,可以將其他惰性氣體或非惰性氣體的其他氣體添加到該製程中。
在一些實施例中,圖1D及圖1E中所示的選擇性沉積和選擇性蝕刻步驟可以在電漿處理室內同時進行,或者替代地,可以分成兩個電漿處理步驟並且例如藉由一個或多個吹掃步驟分開。在一實施例中,選擇性沉積和蝕刻步驟可以在電漿處理室內同時實施,使用相同的電漿前驅物(例如,CH 4)用於沉積和蝕刻步驟二者。在其他實施例中,選擇性沉積和蝕刻步驟可以在電漿處理室內分離,從而可以在沉積和蝕刻步驟中使用不同的電漿前驅物。例如,選擇性沉積和蝕刻步驟可以在電漿處理室內分離,使得烴前驅物(例如,CH 4)可以用於沉積步驟,而氫(H 2)、鹵碳化合物(例如,CH 4或CHF 3)、和鹵素基礎化學物質(例如BCl 3)用於蝕刻步驟。
圖1D和1E所示選擇性沉積及蝕刻步驟可以作為循環製程加以執行,該等步驟被重複多個循環直到圖案化層108的暴露部分114如圖1F所示被完全移除。每次執行蝕刻步驟時,形成在未暴露部分116上的若干或全部保護層120可以連同暴露部分114的揮發表面一起被移除。在一個實施例中,在各循環之後可以保留非常薄的保護層。在各個後續的沉積步驟中,在未曝露部分116的頂部和側部上形成新的保護層120,如圖1F所示。為了避免蝕刻位於圖案化層108下方的硬遮罩層106,本文所述的選擇性沉積和蝕刻步驟中使用的電漿化學物質通常對硬遮罩層106具有選擇性。
與使用濕式製程來顯影負性金屬氧化物光阻的傳統圖案顯影製程相比,圖1D-1F所示的電漿顯影製程使用循環的乾式製程對正性光阻進行圖案顯影。與負性光阻不同,正性光阻可用於窄幾何製程中的孔洞、區塊和線/空間圖案化。藉由利用循環乾式製程進行圖案顯影,與傳統的濕式圖案顯影相比,本文所述的電漿顯影製程提供了對表面反應的原子層控制並改進了線邊緣粗糙度(LER)和臨界尺寸(CD)控制。本文所述的電漿顯影製程也比傳統的濕式製程圖案顯影更清潔且更具成本效益。
圖2-3示出了用於圖案化基板的例示性方法,其使用本文所述的電漿顯影製程。將認識到圖2-3的實施例僅是例示性的,並且另外的方法可以利用本文描述的技術。此外,因為所描述的步驟不意欲為排他性的,可以將額外的處理步驟添加到圖2-3中所示的方法中。再者,因為可以出現不同的順序和/或可以組合或同時執行各種步驟,步驟的順序不限於圖中所示的順序。此外,雖然描述了與EUV光有關的內容,但應認識到圖2-3的方法對於EUV或更短波長的光可能是有利的。
圖2示出了方法200的一個實施例,其可用於使用本文所揭露的技術對基板進行圖案化。在一些實施例中,方法200可以開始於在基板上形成圖案化層及一個以上的下伏層,其中圖案化層包括金屬氧化物光阻(在步驟210中)。在形成圖案化層之後,方法200實施極紫外光(EUV)微影步驟,其中未被上覆遮罩覆蓋的圖案化層之部分係暴露於EUV光(在步驟220中)。在步驟230中,方法200實施循環乾式製程以移除暴露於EUV光的圖案化層之部分並顯影金屬氧化物光阻圖案。
圖3示出了方法300的另一個實施例,其可用於使用本文揭露的技術對基板進行圖案化。在一些實施例中,方法300可以開始於在基板上形成圖案化層及一個以上的下伏層,其中圖案化層包括金屬氧化物光阻(在步驟310中)。在形成圖案化層之後,方法300將未被上覆於圖案化層上的遮罩所覆蓋的圖案化層之部分暴露於極紫外光(EUV)光(在步驟320中)。在步驟330中,方法300藉由將基板暴露於第一電漿而將保護層選擇性沉積到圖案化層的未暴露部分上。圖案化層的未曝露部分被遮罩覆蓋並且不暴露於EUV光。在步驟340中,方法300藉由將基板暴露於第二電漿而選擇性蝕刻圖案化層的暴露部分。在步驟350中,方法300重複選擇性沉積和選擇性蝕刻直到圖案化層的暴露部分被完全移除。
圖4提供用於電漿處理系統400的一例示實施例,其可就揭露技術加以使用,並且僅為了說明目的而提供。雖然電漿處理系統400在圖4之中顯示為電容耦合電漿(CCP)處理設備,但本領域中通常知識者將理解到本文所述技術可使用以下者加以實施:感應耦合電漿(ICP)處理設備、微波電漿處理設備、輻射線槽孔天線(RLSA TM)微波電漿處理設備、電子迴旋共振(ECR)電漿處理設備、或其他類型的處理系統或系統之組合。因此,本領域中通常知識者將理解到本文所述技術可能與廣泛種類的電漿處理系統之任何一者加以利用。
電漿處理系統400可用於廣泛種類的作業,包含但不局限於蝕刻、沉積、清潔、電漿聚合、電漿輔助化學氣相沉積(PECVD)、原子層沉積(ALD)、原子層蝕刻(ALE)、及相似者。電漿處理系統400之結構係週知的,並且本文提供的特定結構僅係為了示意目的。將理解到,不同及/或額外電漿處理系統可能加以實作,而仍利用本文所述技術的優勢。
更細節參考圖4,電漿處理系統400可能包含處理室405。如在本領域中所週知,處理室405可能係壓力控制室。基板410(在一示例之中,半導體晶圓)可能固持於一工作檯或卡盤415之上。上電極420及下電極425可能如所示地加以提供。上電極420可能經由第一匹配網絡455而電性耦合至第一射頻(RF)源430。第一RF源430可能以一上頻率(f U)提供源電壓435。下電極425可能經由第二匹配網絡457電性耦合至第二RF源440。第二RF源440可能以一下頻率(f L)提供偏壓電壓445。儘管未顯示,本領域中通常知識者將知道電壓可能亦施加於卡盤415。
電漿處理系統400之構件可連接至控制單元470且由其所控制,該控制單元470可接著連接至對應的記憶儲存單元及使用者介面(全未顯示)。各種不同的電漿處理作業可透過使用者介面加以執行,並且各種不同電漿處理配方及作業可儲存於儲存單元之中。因此,給定基板可在電漿處理室內以各種不同微製造技術加以處理。將理解到,控制單元470可耦合至電漿處理系統400之各種不同構件,以接收來自構件的輸入以及將輸出提供至構件。
控制單元470可以廣泛種類的方式加以實作。舉例而言,控制單元470可能係電腦。在另一示例之中,控制單元可能包含一以上的可程式化積體電路,其被程式化以提供本文敘述的功能。舉例而言,一以上的處理器(例如,微處理器、微控制器、中央處理單元等)、可程式化邏輯裝置(例如,複雜可程式化邏輯裝置(CPLD)、場可程式化閘陣列(FPGA)等)、及/或其他可程式化積體電路可使用軟體或其他程式化指令加以程式化,以實現指定電漿製程配方之功能。應進一步注意到,軟體或其他程式化指令可儲存於一以上非暫態電腦可讀取媒體之中(例如,記憶儲存裝置、FLASH記憶體、動態隨機存取(DRAM)記憶體、可再程式化儲存裝置、硬碟、軟碟、DVD、CD-ROM等),並且當由可程式化積體電路執行時軟體或其他程式化指令使可程式化積體電路實施本文敘述的製程、功能、及/或能力。亦可實作其他改變。
在作業之中,當將功率自第一RF源430及第二RF源440施加於系統時,電漿處理系統400使用上及下電極以產生電漿460於處理室405之中。功率之施加在上電極420與下電極425之間產生高頻電場。輸送至處理室405的處理氣體可接著加以解離及轉化為電漿460。所產生的電漿460可用於以各種不同類型的處理方式(例如但不局限於電漿沉積、蝕刻、及/或離子轟擊/濺鍍)處理目標基板(如基板410或任何待處理材料)。
在一些實施例中,可以使用相同的電漿460同時實施本文揭露的選擇性沉積和蝕刻步驟。例如,可以使用烴(例如CH 4)基礎的電漿460在未暴露部分116上選擇性沉積一保護層並且選擇性蝕刻圖案化層108的暴露部分114。在其他實施例中,本文揭露的選擇性沉積和蝕刻步驟可以使用不同的電漿460,其係例如藉由一個或多個吹掃步驟而在處理室405內加以隔離。
如圖4所示,本文描述的例示性電漿處理系統400使用二個RF源。在例示性實施例中,第一RF源430以相對高頻率提供源功率以將輸送到處理室405中的處理氣體轉化為電漿並用以控制電漿密度,而第二RF源440以較低頻率提供偏壓功率以控制離子轟擊能量。
在一例示電漿處理系統之中,第一RF源430可以自約3 MHz到150MHz(或更高)的高頻(HF)範圍提供約0到1400 W的源功率至上電極420,並且第二RF源440可以自約0.2MHz到60MHz的低頻(LF)範圍提供約0到1400 W的偏壓功率至下電極425。根據電漿處理系統的類型以及其中實施的處理類型(例如,蝕刻、沉積、濺射等),也可以使用不同的作業範圍。
在一例示性實施例中,在圖1D中所示的沉積步驟中使用的第一電漿118可使用以下製程條件加以實施: 50 W 到 1000 W 源功率、0 W 到 200 W 偏壓功率、10 mT到 200 mT壓力、0℃ 到 150℃ 靜電卡盤溫度、和 50 標準立方公分(SCCM)之CH 4氣體流。 其他氣體,例如 CH 3F、 CH 2F 2等,也可用於氣體流之中。
在一例示性實施例中,在圖1E中所示的蝕刻步驟中使用的第二電漿122可以使用以下製程條件加以實施:50 W 到 1000 W 源功率、0 W 到 200 W 偏壓功率、10 mT到 200 mT壓力、10℃ 到 150℃ 靜電卡盤溫度、和 20 到 100 標準立方公分(SCCM)的CH 4氣體流。其他氣體,例如Cl 2、BCl 3、惰性氣體等,也可用於氣體流之中。在一些實施例中,可以調整或控制偏壓功率以在蝕刻步驟期間控制離子轟擊能量。在一些實施例中,分隔的表面活化/離子轟擊步驟可以使用以下製程條件加以實施:100W至500W源功率、0W至200W偏壓功率、10 mT至200 mT壓力、10℃至200℃靜電卡盤溫度,以及800標準立方公分(SCCM)的Ar氣體流。其他氣體,例如 He、Ne、Kr等,也可用於氣體流之中。
應注意到,本文所述技術可能利用於電漿處理系統之廣範圍之內。儘管特定的電漿處理系統400顯示於圖4之中,將理解到本文所述技術可利用於其他電漿處理系統之內。在一例示系統之中,顯示於圖4中之RF源可加以切換(例如,較高的頻率可能被供給至下電極425,並且較低的頻率可能被供給至上電極420)。再者,雙源系統顯示於圖4之中僅作為例示系統。將理解到,本文所述技術可與其中調整RF功率源被提供至一以上電極的其他電漿處理系統加以利用,直流(DC)偏壓源加以利用,或其他系統構件加以利用。
應注意到,各種不同的沉積製程可用以形成本文顯示及敘述的一以上材料層。舉例而言,一以上沉積可使用以下者加以實施:化學氣相沉積(CVD)、電漿輔助CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、及/或其他沉積製程。在一例示電漿沉積製程之中,前驅物氣體混合物可加以使用,包含但不局限於烴化合物和碳氟化合物,可能在各種壓力、功率、流動及溫度條件下與一以上稀釋氣體(例如、氬、氮等)結合使用。
更注意到,各種不同的蝕刻製程可用以蝕刻本文顯示及敘述的一以上材料層。舉例而言,可使用電漿蝕刻製程、放電蝕刻製程、及/或其他期望的蝕刻製程實現一以上蝕刻製程。本文描述的電漿蝕刻製程可使用含有以下者的電漿加以實現:氫、鹵碳化合物、及其他含鹵素的化學品、氬及/或其他氣體。如上所載,本文描述的電漿蝕刻製程之一以上可操作參數(例如,偏壓功率)可加以調整,以在蝕刻步驟期間控制碳轟擊能量。
用於製程步驟的其他操作變數亦可調整以控制本文所述之各種不同沉積及/或蝕刻製程。舉例而言,操作變數可能包含腔室溫度、腔室壓力、氣體流率、氣體類型、及/或用於處理步驟的其他操作變數。變化亦可實現,而仍利用本文所述技術之優勢。
應注意到,本說明書通篇引用之「一實施例」或「實施例」意指與實施例結合敘述的特定特徵、結構、材料、或特性係包含在發明之至少一實施例之中,但不意味著它們出現在各實施例之中。因此,本專利說明書通篇中在各種不同位置出現的用語「在一實施例之中」或「在實施例之中」,不必然指涉本發明之相同實施例。再者,特定的特徵、結構、材料、或特性可能以任何合適的方式組合於一以上實施例之中。各種不同額外層及/或結構可能被包含,且/或所述特徵可能在其他實施例之中被省略。
本文使用的術語「基板」意指及包含基底材料或材料形成於其上的構造。將理解到,基板可能包含單一材料、複數層之不同材料、其中具有不同材料或不同結構之區域的一以上層等。這些材料可能包含半導體、絕緣體、導體、或其組合。舉例而言,基板可能係半導體基板、在支撐結構上之基底半導體層、具有一以上層的金屬電極或半導體基板、形成於其上的結構或區域。該基板可能係習用矽基板或包含一層半導體材料的其他大塊基板。如在此使用,術語「大塊基板」意指且包含不僅僅矽晶圓,但亦意指且包含絕緣層上矽晶(「SOI」)基板(如藍寶石上矽晶(「SOS」)基板及玻璃上矽晶(「SOG」)基板)、在基底半導體基礎上的矽之磊晶層、以及其他半導體或光電材料,如矽鍺、鍺、砷化鎵、氮化鎵、及磷化銦。基板可能摻雜或未摻雜。
用於處理基板的系統及方法係敘述於各種不同實施例之中。基板可能包含裝置之任何材料部分或結構(部分為半導體或其他電子裝置),且可能(例如)係基底基板結構,如半導體基板或在如薄膜的基底基板之上或覆蓋其上的一層。因此,基板不旨在局限於任何特定的基底結構、下伏層或覆蓋層、圖案化或未圖案化,而是應認為包含任何所述層或基底結構、以及層及/或基底結構之任何組合。
在相關領域中之通常技術者將理解到各種不同實施例可能在沒有特定細節之一以上者的情況下實踐,或以其他取代及/或額外方法、材料、或構件實踐。在其他實例之中,週知結構、材料、或作業並未詳細顯示或敘述,以避免模糊發明之各種不同實施例之態樣。相似地,用於解釋之目的,特定數字、材料、及配置被闡明,俾以提供發明之透徹理解。儘管如此,本發明可能在沒有特定細節的情況下實踐。更甚者,應理解到顯示於圖示中之各種不同實施例係示意的顯示,並且不必然按比例繪製。
透過本敘述之觀點,所述系統及方法之進一步修改及替代實施例對本領域中通常知識者將係顯而易見的。因此,將理解到所述系統及方法不被這些例示佈置所限制。應理解到,本文顯示及敘述的系統及方法之形式應理解為例示實施例。在實作之中各種不同改變可能作成。因此,儘管發明係參考特定實施例在本文加以敘述,但各種不同修改及改變可在不偏離本發明之範圍的情況下做成。因此,說明書及圖示應理解為示意而非限制意義,且如此的修改旨在包含於本發明之範圍之內。再者,參考特定實施例的在此敘述的任何益處、優勢、或對問題之解決方案不旨在被理解為請求項之任何一者或全部者之關鍵、需要、或必要特徵或元件。
100:基板 102:基底基板 104:犧牲碳層 106:硬遮罩層 108:圖案化層 110:遮罩 112:EUV光 114:暴露部分 116:未曝露部分 118:第一電漿 120:保護層 122:第二電漿 200:方法 210,220,230:步驟 300:方法 310,320,330,340,350:步驟 400:電漿處理系統 405:處理室 410:基板 415:卡盤 420:上電極 425:下電極 430:第一射頻(RF)源 435:源電壓 440:第二RF源 445:偏壓電壓 455:第一匹配網絡 457:第二匹配網絡 460:電漿 470:控制單元
本發明之較完整理解及其優勢可藉由參考以下敘述結合附隨圖示而獲得,其中相似參考數字代表相似特徵。然而,應注意到,附隨圖示僅繪示揭露概念之例示實施例,並且因此不應認為對範圍有所限制,因為所揭露概念可能允許其他相同效果實施例。
圖1A-1F繪示用於圖案化基板的改良的製程流程,並且更具體而言,用於極紫外光(EUV)光阻。
圖2係繪示用於圖案化基板的方法之一實施例的流程圖。
圖3係用於圖案化基板的方法之另一實施例的流程圖。
圖4係繪示使用本文所述技術可用於圖案化基板的電漿處理系統之一實施例的方塊圖。
200:方法
210,220,230:步驟

Claims (20)

  1. 一種圖案化基板的方法,包含: 在該基板之上形成一圖案化層以及一層以上的下伏層,其中該圖案化層包含一金屬氧化物光阻; 實施一極紫外光(EUV)或更短波長的微影步驟,其中未被一上覆遮罩所覆蓋的該圖案化層之部分係暴露於EUV或更短波長光;以及 實施一循環乾式製程以移除暴露於該EUV或更短波長光的該圖案化層之該部分,並且顯影一金屬氧化物光阻圖案。
  2. 如請求項1之圖案化基板的方法,其中該循環乾式製程包含: 藉由將該基板暴露於一第一電漿而選擇性沉積一保護層於該圖案化層之未暴露部分之上,其中該圖案化層之該未暴露部分係由該上覆遮罩加以覆蓋,並且未暴露於該EUV或更短波長光; 藉由將該基板暴露於一第二電漿而選擇性蝕刻該圖案化層之暴露部分,其中該圖案化層之該暴露部分未被該上覆遮罩加以覆蓋,並且係暴露於該EUV或更短波長光;以及 重複該選擇性沉積步驟以及該選擇性蝕刻步驟,直到該圖案化層之該暴露部分係完全移除。
  3. 如請求項2之圖案化基板的方法,其中該第一電漿包含烴或氟碳基礎前驅物氣體。
  4. 如請求項2之圖案化基板的方法,其中該第二電漿包括含氫或鹵素前驅物氣體以及惰性氣體。
  5. 如請求項4之圖案化基板的方法,其中每次實施該選擇性蝕刻步驟時,該含氫或鹵素前驅物氣體將該圖案化層之該暴露部分之一表面轉化為揮發性材料,並且該惰性氣體之離子對該基板之一表面轟擊以自該暴露部分移除該揮發性材料。
  6. 如請求項5之圖案化基板的方法,其中每次實施該選擇性沉積步驟時,一新的保護層加以沉積於該圖案化層之該未暴露部分之上。
  7. 一種圖案化基板的方法,包含: 在該基板之上形成一圖案化層以及一層以上的下伏層,其中該圖案化層包含金屬氧化物光阻; 將未被上覆於該圖案化層之一遮罩所覆蓋的該圖案化層之部分暴露於極紫外光(EUV)或更短波長光; 藉由將該基板暴露於一第一電漿而將一保護層選擇性沉積於該圖案化層之未暴露部分之上,其中該圖案化層之該未暴露部分係由該遮罩加以覆蓋並且未暴露於該EUV或更短波長光; 藉由將該基板暴露於一第二電漿而選擇性蝕刻該圖案化層之暴露部分;以及 重複該選擇性沉積及該選擇性蝕刻步驟,直到該圖案化層之該暴露部分係完全移除。
  8. 如請求項7之圖案化基板的方法,其中該圖案化層包含金屬氧化物材料,其包括具有化學鍵合有機配位基的金屬氧化物結構之團簇,並且其中將未被該遮罩所覆蓋的該圖案化層之該部分暴露於EUV或更短波長光之步驟使得該等有機配位基與該等金屬氧化物結構分離且保持該圖案化層之該未暴露部分不改變。
  9. 如請求項8之圖案化基板的方法,其中在將未被該遮罩所覆蓋的該圖案化層之該部分暴露於EUV或更短波長光之後並且在將該保護層選擇性沉積於該圖案化層之該未暴露部分之上之前,該方法進一步包含實施一烘烤製程,以自該圖案化層之該暴露部分釋放該等有機配位基。
  10. 如請求項7之圖案化基板的方法,其中該第一電漿包含烴或氟碳基礎前驅物氣體。
  11. 如請求項10之圖案化基板的方法,其中該第一電漿包含CH 4、C 4F 8、C 4F 6、或CH 3F。
  12. 如請求項7之圖案化基板的方法,其中該第二電漿包括含氫或鹵素前驅物氣體。
  13. 如請求項12之圖案化基板的方法,其中該第二電漿包含CH 4、CF 4、CHF 3、或BCl 3
  14. 如請求項12之圖案化基板的方法,其中該第二電漿進一步包含一惰性氣體。
  15. 如請求項8之圖案化基板的方法,其中該第二電漿進一步包含氬(Ar)。
  16. 如請求項12之圖案化基板的方法,其中該含氫或鹵素前驅物氣體將該圖案化層之該暴露部分之一表面轉化為揮發性材料,並且其中惰性氣體離子轟擊該暴露部分之該表面以移除該揮發性材料。
  17. 如請求項7之圖案化基板的方法,其中該將一保護層選擇性沉積於該圖案化層之未暴露部分之上之步驟以及該選擇性蝕刻該圖案化層之暴露部分之步驟係同時實施於一電漿處理室之內,使用相同的電漿前驅物氣體以產生該第一電漿及該第二電漿。
  18. 如請求項17之圖案化基板的方法,其中該第一電漿及該第二電漿各者包含一烴前驅物及一惰性氣體。
  19. 如請求項7之圖案化基板的方法,其中該將一保護層選擇性沉積於該圖案化層之未暴露部分之上之步驟以及該選擇性蝕刻該圖案化層之暴露部分之步驟係分隔於一電漿處理室之內,使得不同的電漿前驅物氣體加以使用以產生該第一電漿及該第二電漿。
  20. 如請求項19之圖案化基板的方法,其中該第一電漿包含一烴前驅物,並且其中該第二電漿包含一鹵碳前驅物及一惰性氣體。
TW110142177A 2020-11-13 2021-11-12 極紫外光(euv)光阻的圖案化顯影方法 TW202234140A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/097,921 US11079682B1 (en) 2020-11-13 2020-11-13 Methods for extreme ultraviolet (EUV) resist patterning development
US17/097,921 2020-11-13

Publications (1)

Publication Number Publication Date
TW202234140A true TW202234140A (zh) 2022-09-01

Family

ID=77063598

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110142177A TW202234140A (zh) 2020-11-13 2021-11-12 極紫外光(euv)光阻的圖案化顯影方法

Country Status (6)

Country Link
US (2) US11079682B1 (zh)
JP (1) JP2023549608A (zh)
KR (1) KR20230101906A (zh)
CN (1) CN116830243A (zh)
TW (1) TW202234140A (zh)
WO (1) WO2022103949A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240027900A1 (en) * 2022-07-22 2024-01-25 Tokyo Electron Limited Acid for Reactive Development of Metal Oxide Resists
US20240045337A1 (en) * 2022-08-03 2024-02-08 Tokyo Electron Limited Metal Oxide Resists for EUV Patterning and Methods for Developing the Same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
WO2018004646A1 (en) 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
WO2018048925A1 (en) * 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity

Also Published As

Publication number Publication date
KR20230101906A (ko) 2023-07-06
US20230341781A1 (en) 2023-10-26
CN116830243A (zh) 2023-09-29
US11079682B1 (en) 2021-08-03
WO2022103949A1 (en) 2022-05-19
JP2023549608A (ja) 2023-11-28

Similar Documents

Publication Publication Date Title
CN107431011B (zh) 用于原子层蚀刻的方法
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
US6833325B2 (en) Method for plasma etching performance enhancement
KR101407661B1 (ko) 제어된 임계 치수 수축을 갖는 에칭 처리
JP2017199909A (ja) Aleおよび選択的蒸着を用いた基板のエッチング
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
KR20080018110A (ko) 플라즈마 에칭 성능 강화 방법
JP2011040757A (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
TWI825284B (zh) 鎢或其他金屬層的原子層蝕刻(ale)
US20230341781A1 (en) Methods for Extreme Ultraviolet (EUV) Resist Patterning Development
US8298960B2 (en) Plasma etching method, control program and computer storage medium
WO2022125781A1 (en) Non-atomic layer deposition (ald) method of forming sidewall passivation layer during high aspect ratio carbon layer etch
JP6630935B2 (ja) マイクロエレクトロニクス基板上のドライハードマスク除去のための方法
TWI687970B (zh) 圖案化層之循環式蝕刻的方法
JP4522892B2 (ja) 微細パターン形成方法
US10991594B2 (en) Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
JP2015079793A (ja) プラズマ処理方法
KR20190092310A (ko) 마이크로전자 워크피스의 처리를 위한 금속 하드 마스크 층
WO2006070553A1 (ja) 半導体装置の製造方法
US11342195B1 (en) Methods for anisotropic etch of silicon-based materials with selectivity to organic materials
US10424491B2 (en) Etching method
US10607835B2 (en) Etching method
JP2007059666A (ja) 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体