TW201710568A - 藉由使用具有隨空間而設計的電阻之離子電阻性離子可滲透性元件的金屬之電沉積設備及方法 - Google Patents

藉由使用具有隨空間而設計的電阻之離子電阻性離子可滲透性元件的金屬之電沉積設備及方法 Download PDF

Info

Publication number
TW201710568A
TW201710568A TW105114493A TW105114493A TW201710568A TW 201710568 A TW201710568 A TW 201710568A TW 105114493 A TW105114493 A TW 105114493A TW 105114493 A TW105114493 A TW 105114493A TW 201710568 A TW201710568 A TW 201710568A
Authority
TW
Taiwan
Prior art keywords
region
ion permeable
semiconductor substrate
electroplating
substrate
Prior art date
Application number
TW105114493A
Other languages
English (en)
Other versions
TWI758248B (zh
Inventor
布爾漢丁 卡嘎吉瓦拉
布萊恩 L 巴克羅
利平 蔡
亞倫 伯克
羅伯特 拉許
史蒂芬 T 邁爾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201710568A publication Critical patent/TW201710568A/zh
Application granted granted Critical
Publication of TWI758248B publication Critical patent/TWI758248B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3063Electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/007Current directing devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

在一態樣中,用以將金屬電鍍在半導體基板上同時改善鍍覆均勻性的設備包括:一鍍覆腔室,配置以容納電解液及陽極;一基板固持器,配置以固持半導體基板;以及一離子電阻性離子可通透性元件,其包含實質上平面的面基板表面及對側表面;其中該元件允許離子電流在電鍍期間朝該基板流動;且其中該元件包含具有變化局部電阻的區域。在一範例中,透過改變該元件之厚度來改變該元件之電阻。在一些範例中,該元件的厚度在徑向方向上從該元件的邊緣到該元件的中央逐漸地減小。所提供之設備與方法尤其有用於將金屬電鍍在WLP之凹陷特徵部中。

Description

藉由使用具有隨空間而設計的電阻之離子電阻性離子可滲透性元件的金屬之電沉積設備及方法
本發明大致上關於用以將金屬層電鍍在半導體晶圓上的方法與設備。更具體而言,本文中描述之方法與設備可用於控制鍍覆均勻性。
在半導體裝置製造中,常透過電鍍將傳導性材料(例如銅)沉積在金屬晶種層上,以填充半導體晶圓基板上的一或更多凹陷特徵部。電鍍為在鑲嵌處理期間用以在晶圓的通孔與溝槽中沉積金屬的特別方法,且亦常用在晶圓級封裝(WLP)應用中於晶圓基板上形成金屬線路及柱。電鍍的另一應用為直通矽通孔(TSVs)的填充,TSVs為使用於3D積體電路與3D封裝中的相當大的垂直向電性連接件。
在一些電鍍基板中,晶種層在電鍍(通常在鑲嵌與TSV處理中)之前被暴露在整個基板表面上,而金屬的電沉積發生在基板整體上。在其他的電鍍基板中,晶種層的一部分被非傳導性材料覆蓋(例如被光阻劑覆蓋),而該晶種層的另一部分被暴露出來。在此類具有被部分遮蔽之晶種層的基板中,電鍍僅發生在晶種層的被暴露部分上,而晶種層的被覆蓋部分則受保護而上方不被鍍覆。在晶種層被圖案化光阻劑塗佈的基板上進行的電鍍,被稱為直通光阻劑鍍覆(through resist plating)且常用於WLP應用中。
在電鍍期間,在晶圓的周邊處形成到晶種層(例如銅晶種層) 的電性接觸,且晶圓被施加電性偏壓而作為陰極。使該晶圓與電解液接觸,該電解液含有待鍍覆之金屬的離子。該電解液一般亦包括為電解液提供足夠之導電度的酸;且亦可包括將不同基板表面上之電沉積速率加以調節的添加劑(被稱為加速劑、抑制劑、及勻平劑)。
在電鍍期間遇到的其中一個問題為,電沉積金屬沿著圓形半導體晶圓之半徑的不均勻厚度分佈。這類型的不均勻性被稱為徑向不均勻性。徑向不均勻性可能起因於各種因素,例如起因於端點效應、及起因於基板表面上的電解液流量變化。端點效應本身表現在邊緣厚的電鍍中,這係因為在晶圓邊緣處的電位(位於電性接觸附近)明顯高於在晶圓中央處的電位,尤其若使用薄的電阻性晶種層時更係如此。
在電鍍期間可能遇到的另一類型的不均勻性為方位角不均勻性。為了明確,吾人使用極座標將方位角不均勻性定義為在距晶圓中心之固定徑向位置處,表現在晶圓之不同角度位置上的厚度變化,亦即,沿著晶圓周邊區內之給定的圓或部分圓的不均勻性。這類型的不均勻性可獨立於徑向不均勻性而存在於電鍍應用中,且在一些應用中,可能為需要控制之主要類型的不均勻性。這時常出現在直通光阻劑鍍覆中,其中大部分的晶圓以光阻劑塗層或類似的防鍍覆層來遮蔽,且晶圓邊緣附近的特徵部之經遮蔽圖案或特徵部密度在方位角上並不均勻。例如,在一些情況下,在晶圓之缺口附近可能存在缺失圖案特徵部之技術上要求之弦區,以允許晶圓編號或處理。
過度的徑向及方位角不均勻性可能導致晶片失去功能。因此需要用以改善鍍覆均勻性的方法與設備。
本文中描述將金屬電鍍在基板上同時改善鍍覆均勻性的方法與設備。本文中描述的方法與設備可用於在各種基板上進行電鍍,且尤其有用於WLP處理期間的直通光阻劑鍍覆。該方法涉及使用離子電阻性離子可滲透性元件,其具有隨空間而設計的電阻,且該元件在電鍍期間設置在鄰近基板之處,並經配置而將所選之不均勻性類型做為目標。例如,因端點效應而產生的徑向不均勻性,可透過使用離子電阻性離子可滲透性元件(其緊鄰基板而設置)而被緩解,其中該元件在邊緣處比在中央處更具電阻性。透過於空間上改變元件之厚度、元件的孔隙度、或厚度及孔隙度的組合,可於空間上改變電阻。
在本發明之一態樣中,提供一電鍍設備,其中該設備包括: (a)一鍍覆腔室,配置以在將金屬電鍍於一半導體基板上時容納電解液及一陽極;(b)一基板固持器,配置以固持該半導體基板,使得該半導體基板的一鍍覆面在電鍍期間與該陽極分開;(c)一離子電阻性離子可通透性元件,其包含實質上平面的一面基板表面及一對側表面;其中該元件允許離子電流在電鍍期間透過該元件朝該基板流動;且其中該元件包含一具有變化局部電阻的區域。在一些實施例中,在該具有變化局部電阻的區域中的局部電阻係逐漸地變化。
在一範例中,該具有變化局部電阻的區域與該元件共同延伸(即該區域為整個元件),且該區域中的局部電阻,從該元件之邊緣朝該元件之中央徑向地減小。此實施例尤其有用於緩解端點效應,尤其當欲沉積金屬於WLP特徵部中時。
在另一實施例中,該元件包含一具有不變局部電阻的區域,其圍繞該具有變化局部電阻的區域,其中該具有變化局部電阻的區域位於該元件的中央部分,且其中該具有變化局部電阻的區域中的局部電阻,從與具有不變電阻的區域交界之處朝該元件的中央徑向地減小。此實施例尤其有用於解決在直通光阻劑電鍍中遇到的因半導體基板中央處較厚的光阻劑層而產生的不均勻性。
可使用若干方法改變該元件的電阻。在一方法中,在該具有變化局部電阻的區域中,該元件具有變化的厚度與不變的孔隙度。厚度的變化較佳地(但非必要)為逐漸性的。在另一方法中,在該具有變化局部電阻的區域中,該元件具有變化的孔隙度(較佳地為逐漸變化的孔隙度)與不變的厚度。亦可使用該方法之組合。例如,在一些實施例中,在該具有變化局部電阻的區域中,該元件具有逐漸變化的孔隙度與逐漸變化的厚度兩者。
在一些實施例中,該元件具有複數個未相連通道,其係形成穿過離子電阻性材料且將該元件的該面基板表面及該元件的該對側表面連接,其中該元件允許該電解液透過該通道朝該基板移動。在一些實施例中,在該具有變化局部電阻的區域中,該未相連通道具有逐漸變化的密度。在一些實施例中,在該具有變化局部電阻的區域中,該未相連通道具有逐漸變化的直徑。在一些實施例中,在該具有變化局部電阻的區域中,相對於由該基板的鍍覆面所界定的一平面,該未相連通道具有逐漸變化的傾斜角度。亦可使用這些實施例(通道密度之變化、通道直徑之變化、及傾斜角度之變化)之所有組合來提供電阻的變化。
在應用厚度變化以達到電阻變化的一具體範例中,該具有變化局部電阻的區域與該元件共同延伸,且因為從該元件之邊緣朝該元件之中央逐漸地減小的該元件的厚度,所以此區域中的局部電阻,從該元件之邊緣朝該元件之中央徑向地減小。在此範例中的若干實施例中,從徑向剖面觀看時,該元件的該對側表面為遵守二階多項式函數的凸面。
在應用厚度變化以達到電阻變化的另一具體範例中,該元件包含一具有不變厚度的區域,其圍繞該具有變化局部電阻的區域,其中該具有變化局部電阻的區域位於該元件的中央部分,且其中該具有逐漸變化之局部電阻的區域中的該元件的厚度,從與該具有不變厚度的區域交界之處朝該元件的中央徑向地減小。
在使用厚度變化以達到電阻變化的該實施例中,該厚度變化一般介於該元件之最大厚度的約3-100%。
在一些實施例中,該元件實質上與半導體基板共同延伸,且具有形成穿過離子電阻性材料的約6000-12000個未相連通道。該元件緊鄰該半導體基板而設置。一般而言,在電鍍期間,該元件的該面基板表面與該半導體基板的一鍍覆面分開約10毫米或更小的間隙。在一些實施例中,該設備更包含:通往該間隙之一入口,用以引導流到該間隙的電解液;以及通往該間隙之一出口,用以接收流經該間隙的電解液,其中該入口與該出口設置於基板的鍍覆面的方位角對側圓周位置附近,且其中該入口與該出口適於在該間隙中產生電解液的跨流。
在另一態樣中,提供將金屬電鍍在包含複數凹陷特徵部的半導體基板上的電鍍方法。該方法包含下列步驟:(a)提供基板至一鍍覆腔室,其配置以在將金屬電鍍於該基板上時容納電解液及一陽極;其中該鍍覆腔室包括:(i)一基板固持器,其固持該半導體基板,使得基板的一鍍覆面在電鍍期間與該陽極分開;以及(ii)一離子電阻性離子可通透性元件,其包含實質上平面的一面基板表面及一對側表面;其中該元件允許離子電流在電鍍期間透過該元件朝該基板流動;且其中該元件包含一具有變化局部電阻的區域;並且(b)將金屬電鍍於基板鍍覆面上,同時將半導體基板施加陰極偏壓並將之旋轉。
在另一態樣中,提供一電鍍設備,其中該設備包括:  (a)一鍍覆腔室,配置以在將金屬電鍍於一半導體基板上時容納電解液及一陽極;(b)一基板固持器,配置以固持該半導體基板,使得基板的一鍍覆面在電鍍期間與該陽極分開;(c)一離子電阻性離子可通透性元件,其中該元件允許離子電流在電鍍期間透過該元件朝基板流動;且其中該元件包含一方位角不對稱性離子可通透性區域,而該區域具有與該元件的其他區域之平均電阻不同的(例如較大的)平均電阻。方位角不對稱性區域可位於元件周邊區域的孔洞性部分的一方位角部分。該方位角不對稱性區域亦可具有比該元件的其他區域小但不變的孔隙度(或孔的密度),或該區域可具有在該區域中逐漸變化的孔隙度,但整體而言具有實質上比該元件之其他區域小的孔隙度。可使用此類電鍍設備來改善方位角不均勻性。在一態樣中,提供一電鍍方法,其中該方法涉及提供一基板至上述的電鍍設備;並且將金屬電鍍於該基板上,同時相對於該離子電阻性離子可通透性元件旋轉該基板,使得該基板上的所選方位角不對稱性區域以不同於該基板的另一區域之時間量,存在於該元件的方位角不對稱性離子區域(其具有與該元件的其他區域不同的電阻)之上,其中該基板的另一區域具有與該所選方位角不對稱性區域相同的面積、相同的平均徑向位置、及相同的平均弧長,但駐留在不同的方位角(角度)位置。
本文中提供之方法可併入應用光微影圖案化的處理中。在一態樣中,該方法包括上述的任何方法,且更包括將光阻劑塗佈到晶圓基板上;將光阻劑曝光;將光阻劑圖案化並將圖案轉移至晶圓基板;並且將光阻劑從晶圓基板上選擇性地移除。在本發明之另一態樣中,提供一系統,其包括上述之任何設備與一步進器。
在一些實施例中,提供一設備,其中該設備更包括一控制器,其包含用於執行本文所述之任何方法的程式指令、及/或邏輯。在一態樣中,提供包含程式指令的非暫態電腦機械可讀媒介。用以控制電鍍設備的程式指令包含用以執行上述之任何方法的程式碼。
本發明之該等與其他特徵及優點將參考相關圖式更詳細描述如下。
提供用以將金屬電鍍在基板上同時改善均勻性(例如改善徑向均勻性、方位角均勻性、或兩者)的方法及設備。該方法尤其有用於WLP應用中的直通光阻劑鍍覆,但不限於這些應用。該方法可用於在其他處理方案中(例如在TSV處理中、及在使用鑲嵌處理的積體電路製造中)的電鍍。該方法與設備應用了具有隨空間而設計的電阻之離子電阻性離子可滲透性元件,來解決各樣的均勻性挑戰,例如直通光阻劑鍍覆中,光阻劑的不均勻厚度與端點效應。在許多情況下,使用所提供的方法與設備,可產生良好的、5%以內或更小的晶圓內均勻性,其中均勻性的數值涉及電沉積金屬層之厚度變化(厚度最大值-厚度最小值)比上兩倍平均厚度的比例。
大致上描述其中之基板為半導體晶圓的實施例;但本發明不限於此。「半導體晶圓」與「半導體基板」在本文中可互換使用,且涉及包含半導體材料(例如矽)的工作件,其中該半導體材料在該工作件內任意處。一般而言,以一或更多其他材料層(例如介電性層與傳導性層)覆蓋半導體基板中的半導體材料。用於電鍍的基板包括傳導性晶種層,其至少被暴露在基板表面上的若干位置。晶種層一般為金屬層,例如可為銅層(包括純銅及其合金)、鎳層(包括NiB與NiP層)、釕層等。基板一般包括位在其表面上的若干個凹陷特徵部,該凹陷特徵部在電鍍處理期間被填充。可使用所提供之方法來電鍍的金屬範例包括(但不限於)銅、銀、錫、銦、鉻、錫鉛組合物、錫銀組合物、鎳、鈷、鎳及/或鈷彼此之合金或與鎢之合金、錫銅組合物、錫銀銅組合物、金、鈀、及包含此等金屬或組合物的各種合金。
經歷WLP處理之基板的示意剖面圖顯示於圖1A中。該基板包括矽層101,矽層101被塗佈一銅晶種層103。晶種層103被覆蓋了經圖案化之光阻劑105,使得形成於該光阻劑中的複數個凹陷特徵部107將位於其底部的晶種層103暴露出來。在電鍍期間,駐留在光阻劑105正下方的晶種層部分受遮蔽且未接觸電解液。在電鍍期間,在基板邊緣附近形成到晶種層103的電接觸,並對該基板施加陰極偏壓。在使該基板的鍍覆面與該電解液接觸之後,金屬僅電沉積在凹陷特徵部107中(晶種層暴露之處),但不電沉積在光阻劑105上。在完成電鍍之後,將光阻劑105移除,而留下電沉積金屬的線路及柱在該基板上。在直通光阻劑鍍覆中可觀察到端點效應,其中與在基板之中央部分的厚度相比,在基板之邊緣處的電鍍金屬的厚度係增加的。端點效應在當使用更薄的晶種層時更為明顯,這係因為更薄、且因此更具電阻性的晶種層導致基板邊緣(形成電接觸之處)與基板中央之間更大的壓降。用以緩解端點效應的其中一個習知方法為引入與基板共同延伸且緊鄰基板而設置的電阻性元件,例如孔洞性板。這樣的板在離子電流從陽極朝基板的路徑上引入額外的電阻,而促進更均勻的中央至邊緣的電鍍。其整個本體中具有一致的電阻(例如具有相同厚度與相同孔隙度)的這樣的板,並非總是足以充分地抑制端點效應。在一些情況下,晶種層可能太具電阻性,且邊緣至中央的壓降太大,以致於需要電阻不實用地高的一致的板來補償極端的端點效應。直通光阻劑鍍覆提供特別困難的情況,因為在直通光阻劑鍍覆中,晶種層的片電阻不會隨著沉積更多的金屬而減小,故端點效應在電鍍過程中不會平息。這係因為駐留在光阻劑正下方的晶種層之厚度,在電鍍過程中維持不變。此情況有別於在具有完全暴露之晶種層的基板上進行電鍍。當整個晶種層被暴露在基板上時,晶種層的片電阻在電鍍過程中隨著更多的金屬被電沉積而減小,且因此,端點效應在鍍覆過程中降低。
本文中提供的實施例使用具有隨空間而設計的電阻之離子電阻性離子可滲透性元件來對抗端點效應。具體而言,該元件在元件周邊部分可具有比在元件中央部分更大的局部電阻。用以電沉積金屬在完全暴露晶種層、及部分暴露晶種層(例如在直通光阻劑鍍覆中)兩者的電鍍設備中均可使用此類元件。使用此類元件尤其有利於在厚度小於1000 Å(例如介於約200 – 950 Å之間)的銅晶種層上、或在由比銅更具電阻性的金屬所製成的晶種層上進行直通光阻劑鍍覆。此外,因為高導電度的電解液會使端點效應惡化,當電鍍係在具有特別高導電度(例如50 mS/cm或更高的導電度)的電解液中進行時,使用此類元件尤其有利。當在具有非常高片電阻的晶種層上及/或使用具有極高導電度的電解液進行電鍍時,端點效應可能過大,而使其無法被以具有一致局部電阻的習知離子電阻性離子可滲透性元件來充分地補償。或者,要求此類元件具有極大厚度及/或低孔隙度,而不可能製造或配適於電鍍設備中。在這些情況下,所提供之具有變化局部電阻的元件,為端點效應問題的有效解決方法。
在直通光阻劑鍍覆中遇到的另一均勻性問題為因基板上的光阻劑厚度變化而產生的不均勻金屬電沉積。吾人觀察到,金屬電鍍在被較厚的光阻劑圍繞的凹陷特徵部中係以較低的速率發生。因此,光阻劑厚度變化直接影響到電沉積的均勻性。在被相對上更厚的光阻劑圍繞的凹陷特徵部中被電沉積的金屬層更薄。一般係透過旋塗方法將光阻劑沉積在基板上,而可能在晶圓基板中央部分不經意地沉積比在晶圓基板邊緣附近更大厚度的光阻劑。這樣中央厚的光阻劑沉積通常可在晶圓與晶圓之間重現(雖然不樂見),故此,因中央厚的光阻劑而產生的不均勻電鍍,可透過具有變化局部電阻之離子電阻性離子可滲透性元件補償光阻劑厚度變化而被可靠地解決。因此,在具有中央厚的光阻劑層的晶圓上進行電鍍的期間,可使用在中央的局部電阻比在邊緣小的元件來改善鍍覆均勻性。
圖1B圖解具有中央厚之光阻劑層的基板的三個部分之示意剖面圖。部分109為基板的左邊緣;部分111為基板的中央區域;部分113為基板的右邊緣。可見得基板的左及右部分具有均勻厚度的光阻劑層,而在基板中央部分的光阻劑比在邊緣部分者更厚,且其厚度朝基板的中央漸增。這使在基板中央的凹陷特徵部顯影得比在基板邊緣部分的凹陷特徵部更深,而導致在基板中央部分的較薄的電沉積層。例如,在使用具有一致局部電阻的習知離子電阻性離子可滲透性元件之情況下,若在基板中央的光阻劑比在邊緣者厚40%,可觀察到電鍍層在中央比在邊緣薄約30%。在使用具有隨空間而設計的電阻之適當離子電阻性離子可滲透性元件之情況下,可將此類型的不均勻性降至最低。可改變元件的電阻以匹配晶圓基板上的光阻劑厚度變化,其中在基板之光阻劑厚度較大的那些區域正下方,該元件的電阻減小。因此,在一些實施例中,所提供的方法與設備被用於光阻劑厚度變化至少為光阻劑總厚度的至少約10%(例如至少約20%)的基板上。
總的來說,具有變化局部電阻的離子電阻性離子可滲透性元件可用於解決在直通光阻劑鍍覆與在其他類型之電鍍中的各種徑向與方位角均勻性問題兩者。總的來說,該元件的局部電阻可以一方式隨空間而設計,俾提供較高的局部電阻在若不如此行則會接收多於所需的離子電流的基板部分之正下方(涉及鍍覆面朝下的方向)。若不均勻性為方位角的,則在電鍍期間旋轉基板,可調整旋轉速率,使得若不如此行則會接收多於所需的離子電流的基板部分在該元件之較高電阻的區域上停留比該元件之其他區域上較長的時間。離子電阻性離子可滲透性元件
該離子電阻性離子可滲透性元件(亦稱為「該元件」)為電鍍設備的一個元件,其在離子電流朝被施加陰極偏壓的晶圓基板的路徑上提供額外的電阻,並允許離子在電鍍期間通過該元件而移動至基板。
在一些實施例中,該元件為孔洞性板,其中該板的本體係由電阻性材料形成,且電阻性材料中的孔洞(其可為未相連通道或孔的互連網絡) 允許離子通過該板而移動至被施加陰極偏壓的基板。該元件具有:面基板表面,其較佳地為平面的且平行於基板;及對側表面,其不需為平面的。該元件緊鄰基板而設置,但未接觸基板。較佳的係,該元件在電鍍期間設置在距基板約10mm以內、更佳的係距基板約5mm以內(例如距基板約2-3mm以內)之處,其中此間距涉及基板的鍍覆面及元件之面基板表面間的距離。
該元件的孔隙度與厚度在整個元件本體中可變化。在一些實行例中,該元件的最大厚度範圍介於約10到約50mm;而最小孔隙度之範圍一般介於約1-5%,且較佳地低於約3%。若該元件為具有未連鑽孔通道的板,則將孔隙度界定為該元件之面基板表面上的通道開口面積比上該元件之面基板表面的總面積的比例。若該元件具有3維度的孔網絡,則將孔隙度界定為孔的體積比上該元件之總體積的比例。
在一些實施例中,該元件的孔為未相連通道(例如介電性板中,未在板中互連的鑽孔)。此等通孔與3-D孔洞性網絡不同,在3-D孔洞性網絡中,通道在三個維度中延伸且形成互連孔洞結構。具有未連通孔之元件的一範例為由如下之離子電阻性材料製成的圓盤:聚乙烯、聚丙烯、聚偏二氟乙烯(PVDF)、聚四氟乙烯、聚碸、聚氯乙烯(PVC)、聚碳酸酯等,其具有約6000-12000個1-D通孔。在一些實行例中,該元件更用於電解液流量成形功能,且可允許更大體積的電解液通過其本體中的通道並在晶圓表面上提供電解液的衝擊流。該通道的直徑不應大於基板與該元件之面基板表面之間的距離,且一般而言,該直徑不應超過5mm。典型上,該通道的直徑範圍介於約0.5-1mm。例如,該通道可具有0.508mm或0.66mm的直徑。該通道以90度的角度或以不同的傾斜角度朝向該元件之面基板表面。
在一些實施例中,孔為在元件本體內互連的三維度網絡,且該元件由孔洞性介電質材料所形成,例如孔洞性矽碳化物、孔洞性玻璃等。
具有變化局部電阻的離子電阻性離子可滲透性元件圖解於圖2A中。將具有變化局部電阻的元件定義為:具有至少兩個局部電阻不同之離子可通透性標準區域的一元件。應注意的係,非離子可通透性的區域(例如被屏蔽件遮蓋的區域、或僅具有被擋住的孔的區域)不被用於判定該元件的局部電阻,且不被視為係如本文中提供之該元件的一部分。電阻涉及對於通過該元件朝陰極基板前進之離子電流而言的電阻(假設該元件的所有孔均被相同的電解液填充)。如本文中使用的標準區域涉及該元件以下列方式所得到的體積部分: 在該元件之面基板表面上取一標準面積;並以垂直於該面基板表面平面之方向,通過該元件將該標準面積投影而終止在該元件的下方(對側)表面。如本文中界定的標準面積等於該元件之面基板表面面積的35%。例如,若面基板表面為直徑30cm的圓,則面基板表面面積為706.5 cm2 ,且用於局部電阻判定之標準面積為約2.5 cm2 。局部孔隙度係使用相似的方法來判定,且將之定義為以下列方式所得的在元件之一體積部分中的孔隙度: 在該面基板表面上取標準面積;並以垂直於該面基板表面之方向,通過該元件將該標準面積投影而終止在該元件的下方(對側)表面。在孔隙度係由穿過元件之分離的垂直向孔所產生的情況下,標準面積之精確位置的細微變化,會產生細微改變(一般小於3%),視該面積擷取最大數量或最小數量之孔而定。所提供之元件的若干實施例具有變化局部孔隙度,亦即,至少兩個不同的標準區域具有不同的孔隙度。
圖2A顯示元件201之示意頂視圖,其圖解該元件之面基板表面、及複數例示性標準面積205、 207、 209、211、 213、 215、 217(未圖示元件之面基板表面下方之精神上切除的體積部分)。例如,若在兩個標準面積中任一者正下方之區域中的局部電阻不同,則該元件具有變化局部電阻。例如,若在標準面積205下方以及在標準面積207下方的區域中的電阻不同,則該元件具有變化局部電阻。用以判定局部電阻的標準面積應不重疊。差異應係起因於元件的真正不均勻性(例如元件中的厚度變化及/或孔隙度變化),而非係起因於在均勻的元件中,因標準區域佈置而產生的關於最小及最大數量之通道的變動。
在一些實施例中,該元件中的局部電阻逐漸改變。將逐漸改變定義為存在至少四個沿元件之面基板表面上的任一向量增大或減小的局部電阻數值。在一些實施例中,向量為面基板表面的半徑,如圖2A中所示之半徑203。在一些實施例中,局部電阻從該元件的中央朝該元件的邊緣逐漸增大。此類電阻逐漸增大的範例為一實施例,其中在面積205下方的標準區域中的局部電阻大於在面積207下方的標準區域中者,且在面積207下方的標準區域中的局部電阻大於在面積209下方的標準區域中者,又在面積209下方的標準區域中的局部電阻大於在面積211下方的標準區域中者。雖然圖2A中僅顯示一個半徑,但在其中一個實施例中,局部電阻在元件整體上徑向地從元件的中央朝邊緣增大。此實施例尤其相當合適於解決電鍍期間的端點效應。
具有變化局部電阻的元件之另一範例圖解於圖2B中。顯示該元件的示意頂部視圖。在此實施例中,元件201包括:外側部分221,其具有不變的電阻;及中央部分223,其中之局部電阻有變化。在所繪實施例中,電阻在徑向方向上從該元件的中央朝與具有不變電阻的部分221接合處逐漸地增大,如箭頭所示。雖然僅顯示四個箭頭,但應知悉在此實施例中,電阻係以所述之徑向方向在中央部分223的整體上變化。此實施例相當合適於解決徑向不均勻性,其如參考圖1B所述般因在被處理基板上的中央厚的光阻劑層而產生。
可使用若干方法來改變該元件的局部電阻。這些方法包括改變該元件的厚度及/或孔隙度。
例如若該元件為具有複數個未相連通道的板,則以方程式(1)來判定局部電阻: R = L/(ρAK) = 4L/(πρDK)                                                                (1) 其中R為局部電阻,L為通道的長度,A為通道的截面積,ρ為通道的密度,D為通道的直徑,K為通道中電解液的導電度。
若該通道垂直於元件之面基板表面,則在該元件之各位置的通道長度等於該位置的元件厚度(元件之面基板表面與元件之對側表面間的距離)。因此,透過改變元件的厚度,可將整個元件中的局部孔隙度維持在不變的數值,同時改變該元件中的局部電阻。
具有變化厚度與變化局部電阻的元件之範例顯示於圖2C中。此繪圖呈現元件231的示意剖面圖,元件231具有平面的面基板表面233、及凸面的對側表面235。在此範例中,元件的厚度在徑向方向上從該元件的邊緣到中央逐漸地減小。相似地,該元件的局部電阻沿著該元件的半徑從該元件的邊緣到該元件的中央逐漸地減小。具有相同的直徑、且以90度的角度朝向該元件之面基板表面的複數個均勻分布的通道,將凸面的表面235與面基板表面233連接。在一些實施例中,表面235遵守二階多項式函數(以徑向剖面觀之時)。在其他實施例中,表面235可遵守不同的函數(例如線性函數),或局部電阻可朝中央逐步地減小。一般而言,該元件的厚度變化介於該元件之最大厚度的約3 - 100 %,例如介於約 3 – 10 % ,更佳的係介於該元件之最大厚度的約7 - 10 %。 通常,在邊緣的元件厚度比在中央的元件厚度大0.54 - 1.8 mm,例如大1.3 -1.8 mm 。例如,在邊緣的最大厚度範圍可介於約 15 - 18 mm,而在中央的最大厚度範圍可介於約 16.5 - 17.5 mm。在一具體範例中,在邊緣的元件厚度約18 mm,而在中央者約 16.7 mm。
具有變化局部電阻的元件之另一範例顯示於圖2D中。在此範例中,元件具有均勻的厚度,但該元件中通道的密度隨局部位置而變化。在所繪之元件231的剖面圖中,面基板表面233與對側表面235平行,且透過垂直於兩個表面的複數個未相連通道而連接。在此範例中,局部通道密度改變,使得局部通道密度在徑向方向上朝基板的邊緣逐漸地減小。因此,在元件邊緣附近的局部通道密度小於在元件中央附近的局部通道密度。因此,在此元件中的局部電阻在徑向方向上從該元件的邊緣部分朝該元件的中央逐漸地減小。將通道的局部密度視為先前定義之標準區域中的通道密度。在一些實施例中,在晶圓邊緣的局部通道密度約介於每區域10-60個通道,而在晶圓中央介於約每區域40-100 個通道(其中該區域的標準面積相當於該元件之面基板表面的總面積的0.35 % ,例如,在面積為706.5 cm2 之元件上相當於2.5 cm2 的區域)。在一具體範例中,該元件在邊緣具有約每標準區域10個通道、及在中央約每標準區域40個通道的通道密度。在另一具體範例中,該元件在邊緣具有約每標準區域15個通道、及在中央約每標準區域60個通道的通道密度。
如從方程式(1)可見得,亦可透過調節通道的尺寸(或當通道為圓柱狀時,通道的直徑)來調節局部電阻。在圖2E所示之繪圖中,通道均勻地分布在元件231的平行的表面233及235之間,但通道的直徑相對於其位置而變化。在此範例中,通道的直徑在徑向方向上從該元件的邊緣部分朝該元件的中央逐漸地增大。因此達到局部電阻在徑向方向上逐漸減小。在一些實施例中,通道的直徑從元件的邊緣到中央增加約4%到8%。例如,直徑可從元件的邊緣到中央增加約0.01mm 到 0.04 mm。 在一實行例中,通道的直徑在元件邊緣為0.6 mm,而在元件中央約0.625 mm。
雖然在許多實施例中,元件僅包括垂直於元件之面基板表面的通道,但在一些實施例中,使用具有不同於90度之傾斜角度的通道係有利的。在本文中將該傾斜角度定義為形成在通道與元件之面基板表面平面之間的銳角。在一些實施例中,此角度通常隨局部位置而在介於約35-90度的範圍中變化,以達到局部電阻之變化。通道以較小角度傾斜的位置具有相對上較長的通道,且因此具有較大的電阻。通道具有變化傾斜角度的元件之範例顯示於圖2F中。在此範例中,元件231具有均勻的厚度,但連接平行的表面233與235的通道,表現出傾斜角度中的逐漸變化,使得傾斜角度在徑向方向上從該元件的邊緣部分朝該元件的中央部分(所繪範例中的傾斜角度為90度之處)逐漸地增大。
亦可透過變化局部孔隙度來達到變化局部電阻,其中可透過改變通道的直徑、通道的密度、或兩者的組合來改變局部孔隙度。此外,若該元件包含三維度的孔網絡,則透過改變在該元件的各個位置處、被該等孔所佔據的體積來改變局部孔隙度。在一些實施例中,局部孔隙度在徑向方向上從基板的邊緣朝基板的中央增大。在一些實施例中,孔隙度增大約10 – 200 %,例如約 50 – 150 %。例如,在一些實施例中,在元件邊緣的局部孔隙度約4 %,而在元件中央者約8 % 。此變化可遵守各種函數,包括線性函數及二階多項式函數。
如上說明之原則可應用到任何類型的局部電阻變化。例如,局部電阻可在所選元件區域中變化(例如透過厚度變化、孔隙度變化、或兩者) ,而非如圖2C-2F所示般橫跨整個元件地變化。圖2G圖解元件231,其包括具有寬度D1的不變厚度區域,而該不變厚度區域圍繞具有直徑D2的變化厚度區域。在此範例中,厚度在徑向方向上從與不變厚度區域接合處朝該元件的中央逐漸地減小。此範例圖解當在具有中央厚之光阻劑層的基板上進行鍍覆時,可用於改善電鍍均勻性的元件之一類型。厚度變化量、及不變厚度區之寬度的具體參數,視晶圓上的不均勻性之幾何而定。一般而言,若晶圓包含不變厚度光阻劑區域,而該不變厚度光阻劑區域圍繞具有變化厚度的光阻劑區域時,元件(當以面朝下的方向執行鍍覆時,該元件駐留在該晶圓的正下方)應具有隨此輪廓而設計的厚度。具體而言,駐留在晶圓之具有不變光阻劑厚度的區域正下方的元件區域,應具有不變厚度;駐留在晶圓之具有變化光阻劑厚度的區域正下方的元件區域,應具有可變厚度;其中元件的厚度應隨光阻劑厚度增大而減小。一般而言,在此實施例中,具有不變厚度的元件區域為寬度約60-120mm的環狀區域,而具有可變厚度的內側區域則直徑約30-60mm。在一實施例中,在不變厚度區域中的元件厚度約14mm,而在元件中央處者約7mm。
應注意的係,元件的電阻、其厚度、及孔隙度輪廓應依照被處理之晶圓的類型而設計。因此,例如,在500 Å 的晶種層上提供均勻鍍覆的元件,可能在300 Å的晶種層上提供不均勻鍍覆。相似地,為在中央厚的光阻劑層上進行均勻鍍覆而設計的元件,可能在均勻的光阻劑層上提供不均勻鍍覆。本文中討論的原則(例如,針對較薄的晶種層提供具有較大電阻、且具有較大的中央至邊緣局部電阻變化的元件;及針對位於較厚光阻劑正下方的元件區域提供更大的局部電阻)可用以製造為不同類型的基板而設計的各種元件。設備
具有變化局部電阻的離子電阻性離子可通透性元件可用於各種電鍍設備中,包括晶圓面朝上及晶圓面朝下的設備。可合併所提供之元件的晶圓面朝下的設備之一範例為可得自美國加州佛蒙特(Fremont, California)的蘭姆研究公司(Lam Research Corporation)的 Sabre 3DTM 電鍍系統。總的來說,電鍍設備包括: 一電鍍腔室,配置以在將金屬電鍍於半導體基板上時容納電解液及一陽極;一基板固持器,配置以固持該半導體基板,使得基板的鍍覆面在電鍍期間與該陽極分開;以及一離子電阻性離子可通透性元件,其具有變化局部電阻的區域(於先前段落中描述)。該變化局部電阻的區域可與該員見共同延伸,或者,此區域可僅為該元件的局部化部分。
設備之一範例呈現於圖3中,其中離子電阻性離子可通透性元件在整個元件中具有徑向變化的厚度。顯示電鍍設備301之概略剖面圖。鍍覆槽303容納鍍覆溶液(電解液),其一般包括金屬離子的來源與酸。將晶圓305以面朝下的方向浸沒於該鍍覆溶液中並以架設在可旋轉軸309上的「抓斗」固持支架307固持晶圓305,而可旋轉軸309允許抓斗307與晶圓305一起的雙向旋轉。具有適合與本發明一起使用之態樣的抓斗型鍍覆設備之一般性描述,詳細記載於頒予Patton 等人之美國專利第6156167號,以及頒予Reid等人之美國專利第6800187號中,該等案併入於此作為參考。陽極310 (其可為惰性陽極或消耗性陽極)於鍍覆浴303中設置在晶圓下方,且透過膜311(較佳的為離子選擇性膜)而與晶圓的區域隔開。陽極膜下方的區域313常被稱為「陽極腔室」或「陽極液分隔室」,且在此腔室中的電解質被稱為「陽極液」。膜311上方的區域315被稱為「陰極液分隔室」。離子選擇性陽極膜311允許鍍覆室的陽極區域與陰極區域之間的離子交流,但避免在陽極產生的微粒進入晶圓附近處並將之污染及/或避免不樂見的化學物種(其存在於陰極液電解液中)與陽極313發生接觸。具有變化局部電阻的離子電阻性離子可通透性元件317緊鄰晶圓305而設置,元件317與晶圓共同延伸且與晶圓分開10mm或更小的電解液填充間隙。
該鍍覆溶液由泵浦(未圖示)連續地提供到鍍覆浴303中。在一些實施例中,該鍍覆溶液向上流經膜311及緊鄰晶圓305而設置的具有變化局部電阻的離子電阻性離子可通透性元件317。在其他實施例中,例如當膜311對於鍍覆流體之流量具有大程度之不可通透性時(例如奈米孔洞性介質,諸如陽離子膜),鍍覆流體從例如該腔室之周邊區進入膜311與元件317之間的鍍覆腔室中,然後流經該元件。在此情況下,陽極腔室中的鍍覆流體可被加以循環,且壓力可獨立於陰極腔室而受調節。此類獨立調節係記載於(例如):美國專利第8603305號,公告日為 2013年12月10日;以及美國專利第6527920號,公告日為 2003年3月4日,該等案以全文併入本案之參考資料。
在所繪範例中,具有變化電阻的元件317具有變化的厚度,其中其厚度在徑向方向上從元件邊緣朝元件中央逐漸地減小。亦可使用其他類型的具有變化局部電阻的元件(例如具有變化局部孔隙度的元件)。箭頭在所繪之設備中示意地呈現鍍覆電流。源於陽極310的電流被引導向上,而通過將陽極液分隔室與陰極液分隔室隔開的膜311、及元件317。在所繪範例中,因為元件317在中央較薄,所以在鍍覆腔室之中央部分的離子電流遇到比在鍍覆腔室之邊緣者小的電阻。這緩解了端點效應並改善了鍍覆均勻性。
DC電源供應器(未圖示)與晶圓305及陽極310電性連接,且經配置以對晶圓305施加負偏壓,並對陽極310施加正偏壓。該設備更包括控制器319,其允許將提供到電鍍室之元件的電流及/或電位加以調節。該控制器可包括具體指定需要施加到鍍覆室的各種元件的電流與電壓等級的程式指令、以及具體指定需要改變這些等級之時間的程式指令。該控制器亦可包括具體指定電解液輸送速率、電解液組成、及晶圓旋轉速率的程式指令。總的來說,該控制器與該鍍覆設備的元件電性連接,且可包括具體指定所提供之電鍍方法的任何參數的程式指令或邏輯。所提供之設備的其他特徵
在一些實施例中,較佳的係將該設備(其具有離子電阻性離子可通透性元件)裝備一分歧管,其在晶圓之表面附近處提供電解液的跨流。此類分歧管尤其有利於相對較大的凹陷特徵部中的電鍍,例如WLP或TSV特徵部。在此些實施例中,該設備可包括設置在元件與晶圓之間的液流成形元件,其中該液流成形元件提供實質上平行於晶圓基板之表面的跨流。例如,該液流成形元件可為馬蹄形的板狀物,其引導跨流朝向馬蹄形板狀物中的開口。此類結構之剖面繪圖描繪於圖4A中,其顯示電解液以實質上垂直於晶圓之鍍覆表面的方向進入離子電阻性離子可通透性元件401,並因為電解液流被一壁面擋住,所以在離開元件401之後,電解液產生方向實質上平行於晶圓之鍍覆表面的跨流。達到以實質上平行於基板之表面的方向通過基板中央的側向電解液流動。在一些實施例中,進一步透過在期望之角度位置處(例如實質上在該開口的對面)注入方向實質上平行於基板表面的陰極液來產生跨流。在此實施例中,該設備包括:連接基板與元件之間的間隙之一入口,用以引導流到該間隙的電解液;以及連接該間隙之一出口,用以接收流經該間隙的電解液,其中該入口與該出口設置於該基板的鍍覆面的方位角對側圓周位置附近,且其中該入口與該出口經配置以在該間隙中產生電解液的跨流。此實施例圖解於圖4B中,其描繪注入分歧管403,其將陰極液側向地注入離子電阻性離子可通透性元件401與基板之間的狹窄間隙中。可與本文提供之實施例結合使用以提供晶圓表面處的電解液之跨流的跨流分歧管與液流成形元件,詳細記載於Mayer等人的美國專利8795480號,案名為「Control of Electrolyte Hydrodynamics for Efficient Mass Transfer Control during Electroplating」,公告日為2014年8月5日;以及Abraham等人的美國專利公開案第 2013/0313123號,案名為「Cross Flow Manifold for Electroplating Apparatus,公開日為 2013年11月28日,該等案以全文併入本案之參考資料。雖然在所繪範例中將圖4A與4B之元件401部分呈現為具有均勻的厚度,但應理解此元件如本文所述般具有變化局部電阻(例如經由變化局部孔隙度)。應注意在應用晶圓表面處之電解液跨流(透過在側向方向上直接注入電解液、或透過提供適當的液流成形元件)的實施例中,尤其重要的係應用離子電阻性離子可通透性元件,其具有平行於基板之鍍覆面的平面的面基板表面,因為此類表面允許晶圓表面處之無受阻的側向流量。雖然可使用具有凸面的面基板表面的離子電阻性離子可通透性元件,但一般認為具有平面的面基板表面的元件較優選,因為在兩個平面表面(晶圓的表面與元件的面基板表面)之間的側向流量最大。
該電鍍設備可更包括一或更多其他的元件,其有助於調諧電沉積的均勻性。例如,在一些實施例中,該設備更包括竊流陰極,其設置在基板的周邊附近,且經配置以將鍍覆電流從基板的靠近邊緣的部分轉開。在一些實施例中,該設備可更包括位於鍍覆電流之路徑上的一或更多介電性屏蔽件,以將電流限制在被屏蔽的區域中。為保持清晰,在設備的繪圖中未圖示這些選擇性元件。方法
提供用以電鍍金屬同時改善均勻性的方法。圖5呈現之製程流程圖,圖解一適當電鍍方法。在操作501中,提供一晶圓基板至具有離子電阻性離子可通透性元件(其具有變化局部電阻)電鍍設備中。例如,可使用配置以進行直通光阻劑電鍍且具有複數個凹陷特徵部的基板,例如圖1A或圖1B所示之基板。使該基板的鍍覆表面與電解液進行接觸並且將該基板定位,使得離子電阻性離子可通透性元件的面基板表面駐留在緊鄰於該基板的鍍覆表面之處。將該基板電性連接至一電源供應器,通常係使用位於基板周邊處的複數個電性接觸。在操作503中,將該基板施加陰極偏壓,並將金屬電鍍於該基板上。該基板在電鍍期間一般以介於約2到150rpm的轉速旋轉。在一些實施例中,在電鍍過程中連續地將電解液泵送進電鍍腔室中。一層金屬(例如銅)沉積在該基板上。電鍍完成之後,派送該基板以進行後續處理。例如,在直通光阻劑處理中,電鍍之後的下一步驟一般為使用已知的光阻劑剝離方法將光阻劑移除。修正方位角不均勻性
在一些實施例中,使用具有變化局部電阻的離子電阻性離子可通透性元件來改善電鍍期間的方位角均勻性。在一些半導體基板中,凹陷特徵部的分佈係方位角不均勻性的,其可能導致電鍍層的方位角不均勻性。其他基板可能因為在基板邊緣的缺口之存在而為方位角不對稱的。此類缺口可能導致離子電流在整個基板上的方位角不均勻性分佈,其中電流擁擠現象存在缺口附近。
在一些實施例中,使用具有方位角不對稱性區域的離子電阻性離子可通透性元件來修正方位角不均勻性,該方位角不對稱性區域具有與該元件的其他區域之平均電阻不同的平均電阻。此區域的面積(涉及面基板表面的面積)應至少為該元件之面基板表面的總面積的0.35%。此類元件之一範例圖解於圖6中,其呈現離子電阻性離子可通透性元件601之示意頂視圖,元件601具有方位角不對稱性區域603,區域603具有與該元件的其他區域之平均電阻不同的平均電阻。例如,區域603可具有比該元件之其他區域更大的厚度、或較低孔洞性,但對於離子電流仍具可通透性。此類元件可位於本文所述之任一類型的電鍍設備中。圖7呈現使用此類方位角不均勻性元件之電鍍方法的製程流程圖。在操作701中,提供一基板至具有離子電阻性離子可通透性元件的電鍍設備中,該元件具有方位角不對稱性離子可通透性區域,而該區域具有與該元件的其他區域之平均電阻不同的平均電阻。接下來,在操作703中,將金屬電鍍於該基板上,同時相對於該元件旋轉該基板,使得該基板的所選方位角不對稱性區域以不同於該基板的不同區域之時間量,存在於該元件的方位角不對稱性區域(其具有不同的電阻)之上,其中該基板的不同區域具有與該所選方位角不對稱性區域相同的徑向位置、及相同的平均弧長,但駐留在不同的方位角位置。例如,晶圓基板可以第一速率旋轉,並在當晶圓基板上的所選方位角不對稱性區域經過該元件上的方位角不對稱性區域(其具有不同的電阻)時,減速至第二、較慢的速率。當基板的所選區域在元件之較具電阻性部分上減速時,可將擠在基板之所選區域中的離子電流減少。此方法可提供優於涉及透過下列動作來修正方位角不均勻性之方法的益處:在方位角不對稱性屏蔽件(或帶有完全擋住的孔之元件的一部分)上將所選方位角不對稱性區域減速,因為具有不同電阻的方位角不均勻性區域與屏蔽件不同,該區域為離子可通透性,且因此晶圓基板經受的鍍覆電流較不會突然變化。範例
使用計算模型來研究在圓形基板上的銅電鍍均勻性,該基板具有300mm之直徑以及厚度為500 Å的銅晶種層。
範例1:參考圖8,呈現電流密度的z分量(與鍍覆厚度直接相關)作為晶圓基板上之徑向距離的函數之作圖。X-軸上的零值相當於晶圓的中央;X-軸上的150mm相當於300mm之晶圓的邊緣。
圖8上的曲線(a)係透過在具有離子電阻性離子可通透性元件(其具有平坦的頂部面晶圓表面、及凸面的對側表面)之系統中模擬電鍍而得。此元件具有從元件邊緣朝元件中央減小的變化局部電阻。該元件與晶圓共同延伸,且設置在距晶圓2.5mm(涉及晶圓之鍍覆表面與元件之面晶圓表面之間的距離)以內之處。在邊緣的元件厚度為21mm,而在中央者為12.5mm。元件厚度在徑向方向上從元件邊緣朝元件中央遵守方程式(2)而逐漸地減小: t = 0.38649858 - 0.00052899r  - 0.01118511r2 (2) 此為二階多項式函數,其中t為元件厚度,而r為徑向位置。元件的孔隙度一致且等於4%。
曲線(b)係透過使用相同的參數進行模擬而得,但離子電阻性離子可通透性元件具有一致的電阻、12.5mm之均勻厚度,而其面晶圓表面及對側表面均為平坦的,且互相平行。
曲線(c)係透過使用相同的參數進行模擬而得,但離子電阻性離子可通透性元件具有一致的電阻、12.5mm之均勻厚度,而其面晶圓表面及對側表面均為凸面。從元件之面晶圓表面到晶圓之鍍覆表面的距離在元件中央為4.5mm,而在晶圓邊緣者為13mm。
從曲線(a)、(b)、及(c)可見得,對應到使用具有變化局部電阻的元件進行電鍍的曲線(a)產生最均勻的鍍覆電流徑向分佈。在中央與居間半徑範圍中得到鍍覆均勻性之最顯著增益(與具有一致電阻的元件相比)。
圖8圖解均勻性可透過使用具有可變厚度及不變孔隙度的元件而獲得改善。圖9圖解均勻性可透過使用具有可變孔隙度及不變厚度的元件而獲得改善。
範例2:參考圖9,呈現電流密度的z分量(與鍍覆厚度直接相關)作為晶圓基板上之徑向距離的函數之作圖。X-軸上的零值相當於晶圓的中央;X-軸上的150mm相當於300mm之晶圓的邊緣。
圖9上的曲線(a)係透過在具有離子電阻性離子可通透性元件(其具有平坦的頂部面晶圓表面、及平坦的對側表面)之系統中模擬電鍍而得。此元件具有12.5mm的均勻厚度。該元件與晶圓共同延伸,且設置在距晶圓2.5mm(涉及晶圓之鍍覆表面與元件之面晶圓表面之間的距離)以內之處。在邊緣的局部元件孔隙度為4%,而在中央者為8%。元件孔隙度在徑向方向上從元件邊緣朝元件中央逐漸地降低。在所繪範例中,孔隙度遵守線性函數而朝中央降低。
曲線(b)係透過使用相同的參數進行模擬而得,但離子電阻性離子可通透性元件具有4%的一致孔隙度。
曲線(c)係透過使用相同的參數進行模擬而得,但離子電阻性離子可通透性元件具有8%的一致孔隙度。
從曲線(a)、(b)、及(c)可見得,對應到使用具有變化局部電阻的元件進行電鍍的曲線(a)產生最均勻的鍍覆電流徑向分佈。在中央與居間半徑範圍中得到均勻性之最顯著增益(與具有一致電阻的元件相比)。
實驗3:圖10圖解使用不同類型的離子電阻性離子可通透性元件在均勻與不均勻的晶圓上電鍍銅之實驗性(曲線 (b) 及(c))及外插法 (曲線 (a))範例。曲線(a)及(b)係使用具有隨空間改變輪廓之厚度(及電阻)的元件而得,如圖2G所示。 該元件包含位於該元件之外側部分、具有不變厚度及不變電阻的環狀區域。此區域具有寬度 D1 = 90 mm及12.7 mm的不變厚度。該元件的內側部分(對應到120 mm 的內側直徑D2 ) 具有可變厚度,其中該厚度在徑向方向上從12.7mm(在與該元件之外側部分的接合處)到6.35 mm(在該元件之中央)逐漸地減小。 曲線(c)係使用整個元件中具有不變電阻與12.7mm之不變厚度的元件而得。曲線 (a)為針對在均勻晶圓上進行電鍍的計算曲線。曲線 (b) 及 (c) 係針對在具有中央厚之光阻劑層的不均勻晶圓上進行電鍍得到的,其中在晶圓之外側部分的光阻劑厚度不變且等於約63微米,而在晶圓之內側部分(對應到120mm的內側直徑)的光阻劑具有可變厚度,其中光阻劑厚度在徑向方向上從63微米(在與晶圓之外側部分的接合處)到78微米(在該晶圓之中央)逐漸地減小。
從圖10可見得,電阻隨空間改變輪廓的元件有效地補償因中央厚的光阻劑所產生的不均勻性。具體而言,曲線(a)圖示了,若一均勻的晶圓與具有薄化之中央的元件一起使用,則結果為中央厚的電鍍。曲線(c)圖示了,若具有中央厚之光阻劑的晶圓與均勻厚度的元件一起使用,則會導致中央薄的電鍍。最後,曲線(b)圖示了,若具有中央厚之光阻劑的晶圓與中央薄的元件(如圖2G所示)配合,則可觀察到顯著更為均勻的電鍍。控制器
在一些實施例中,控制器可為系統之一部分,系統可為上述範例之一部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理需求及/或系統之類型,可對控制器編寫程式以控制本文揭露的製程之任一者,包含到主陽極、輔助電極、及基板之電力輸送的參數。具體而言,控制器可針對施加電力之時程、所施加電力之等級等提供指令。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,系統控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(系統控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、剝離腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
前文中所敘述之該裝置/製程可與例如用以製造或生產半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或製程結合使用。一般而言(儘管非必然),此類工具/製程將於共同的製造設施中一起使用或執行。膜的微影圖案化一般包含部分或所有下列操作(每一個操作係以若干合適的工具來達成):(1)使用旋轉塗佈或噴霧塗佈工具將光阻劑塗佈於工件(即基板)上;(2)使用加熱板、或加熱爐、或UV固化工具將光阻劑固化;(3)以例如晶圓步進機之工具將光阻劑曝露於可見光、或UV光、或x射線光;(4)使用例如溼式清洗台之工具將光阻劑顯影以選擇性地移除光阻劑,藉以將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻劑圖案轉移至下層之膜或工件中;及(6)使用例如RF或微波電漿光阻劑剝除機之工具將光阻劑移除。
101‧‧‧矽層
103‧‧‧晶種層
105‧‧‧光阻劑
107‧‧‧凹陷特徵部
109‧‧‧部分
111‧‧‧部分
113‧‧‧部分
201‧‧‧元件
203‧‧‧半徑
205‧‧‧面積
207‧‧‧面積
209‧‧‧面積
211‧‧‧面積
213‧‧‧面積
215‧‧‧面積
217‧‧‧面積
221‧‧‧部分
223‧‧‧部分
231‧‧‧元件
233‧‧‧表面
235‧‧‧表面
301‧‧‧電鍍設備
303‧‧‧鍍覆槽/浴
305‧‧‧晶圓
307‧‧‧抓斗/固持支架
309‧‧‧軸
310‧‧‧陽極
311‧‧‧膜
313‧‧‧區域
315‧‧‧區域
317‧‧‧元件
319‧‧‧控制器
401‧‧‧元件
403‧‧‧分歧管
501‧‧‧操作
503‧‧‧操作
601‧‧‧元件
603‧‧‧區域
701‧‧‧操作
703‧‧‧操作
圖1A為基板在進行直通光阻劑電鍍之前的示意剖面圖。
圖1B為具有中央厚之光阻劑層的基板的三個部分在進行直通光阻劑電鍍之前的示意剖面圖。
圖2A為離子電阻性離子可通透性元件之示意頂視圖,其圖解局部變化之電阻的定義。
圖2B根據本文中提供之實施例,為離子電阻性離子可通透性元件之示意頂視圖。
圖2C根據本文中提供之實施例,為具有可變厚度之離子電阻性離子可通透性元件之示意剖面圖。
圖2D根據本文中提供之實施例,為具有可變通道密度之離子電阻性離子可通透性元件之示意剖面圖。
圖2E根據本文中提供之實施例,為具有可變通道尺寸之離子電阻性離子可通透性元件之示意剖面圖。
圖2F根據本文中提供之實施例,為具有變化傾斜角度之通道的離子電阻性離子可通透性元件之示意剖面圖。
圖2G根據本文中提供之實施例,為具有變化局部電阻的離子電阻性離子可通透性元件之示意剖面圖。
圖3根據本文中提供之實施例,為電鍍設備之示意剖面圖。
圖4A根據本文中提供之實施例,為電鍍設備之一部分的示意剖面圖,其根據本文中提供之實施例圖解電解液在晶圓表面的側向流動。
圖4B根據本文中提供之實施例,為電鍍設備之一部分的示意剖面圖,其根據本文中提供之實施例圖解電解液在晶圓表面的側向流動。
圖5根據本文中提供之實施例,為電鍍方法之製程流程圖。
圖6根據本文中提供之實施例,為具有電阻不同之方位角不對稱性區域的離子電阻性離子可通透性元件之示意頂視圖。
圖7根據本文中提供之實施例,為電鍍方法之製程流程圖。
圖8為計算模型作圖,呈現以不同類型之離子電阻性離子可通透性元件進行電鍍的電流密度輪廓。
圖9為計算模型作圖,呈現以不同類型之離子電阻性離子可通透性元件進行電鍍的電流密度輪廓。
圖10為作圖,呈現以不同類型之離子電阻性離子可通透性元件進行電鍍的電流密度輪廓。
301‧‧‧電鍍設備
303‧‧‧鍍覆槽/浴
305‧‧‧晶圓
307‧‧‧抓斗/固持支架
309‧‧‧軸
310‧‧‧陽極
311‧‧‧膜
313‧‧‧區域
315‧‧‧區域
317‧‧‧元件
319‧‧‧控制器

Claims (24)

  1. 一種電鍍設備,包含: (a)      一鍍覆腔室,配置以在將金屬電鍍於一半導體基板上時容納電解液及一陽極; (b)      一基板固持器,配置以固持該半導體基板,使得該半導體基板的一鍍覆面在電鍍期間與該陽極分開; (c)      一離子電阻性離子可通透性元件,其包含實質上平面的一面基板表面及一對側表面;其中該離子電阻性離子可通透性元件允許離子電流在電鍍期間透過該離子電阻性離子可通透性元件朝該半導體基板流動;且其中該離子電阻性離子可通透性元件包含一具有變化局部電阻的區域。
  2. 如申請專利範圍第1項之電鍍設備,其中在該具有變化局部電阻的區域中的局部電阻係逐漸地變化。
  3. 如申請專利範圍第1項之電鍍設備,其中該具有變化局部電阻的區域與該離子電阻性離子可通透性元件共同延伸,且其中該具有變化局部電阻的區域中的局部電阻,從該離子電阻性離子可通透性元件之邊緣朝該離子電阻性離子可通透性元件之中央徑向地減小。
  4. 如申請專利範圍第1項之電鍍設備,其中該離子電阻性離子可通透性元件包含一具有不變局部電阻的區域,其圍繞該具有變化局部電阻的區域,其中該具有變化局部電阻的區域位於該離子電阻性離子可通透性元件的中央部分,且其中該具有變化局部電阻的區域中的局部電阻,從與該具有不變局部電阻的區域交界之處朝該離子電阻性離子可通透性元件的中央徑向地減小。
  5. 如申請專利範圍第1項之電鍍設備,其中在該具有變化局部電阻的區域中,該離子電阻性離子可通透性元件具有逐漸變化的厚度與不變的孔隙度。
  6. 如申請專利範圍第1項之電鍍設備,其中在該具有變化局部電阻的區域中,該離子電阻性離子可通透性元件具有逐漸變化的孔隙度與不變的厚度。
  7. 如申請專利範圍第1項之電鍍設備,其中在該具有變化局部電阻的區域中,該離子電阻性離子可通透性元件具有逐漸變化的孔隙度與逐漸變化的厚度兩者。
  8. 如申請專利範圍第1項之電鍍設備,其中該離子電阻性離子可通透性元件具有複數個未相連通道,其係形成穿過離子電阻性材料且將該離子電阻性離子可通透性元件的該面基板表面及該離子電阻性離子可通透性元件的該對側表面連接,其中該離子電阻性離子可通透性元件允許該電解液透過該未相連通道朝該半導體基板移動。
  9. 如申請專利範圍第8項之電鍍設備,其中在該具有變化局部電阻的區域中,該未相連通道具有逐漸變化的密度。
  10. 如申請專利範圍第8項之電鍍設備,其中在該具有變化局部電阻的區域中,該未相連通道具有逐漸變化的直徑。
  11. 如申請專利範圍第8項之電鍍設備,其中在該具有變化局部電阻的區域中,相對於由該半導體基板的該鍍覆面所界定的一平面,該未相連通道具有逐漸變化的傾斜角度。
  12. 如申請專利範圍第1項之電鍍設備,其中該具有變化局部電阻的區域與該離子電阻性離子可通透性元件共同延伸,且其中因為從該離子電阻性離子可通透性元件之邊緣朝該離子電阻性離子可通透性元件之中央逐漸地減小的該離子電阻性離子可通透性元件的厚度,所以該具有變化局部電阻的區域中的局部電阻,從該離子電阻性離子可通透性元件之邊緣朝該離子電阻性離子可通透性元件之中央徑向地減小。
  13. 如申請專利範圍第12項之電鍍設備,其中從徑向剖面觀看時,該離子電阻性離子可通透性元件的該對側表面為遵守二階多項式函數的凸面。
  14. 如申請專利範圍第1項之電鍍設備,其中該離子電阻性離子可通透性元件包含一具有不變厚度的區域,其圍繞該具有變化局部電阻的區域,其中該具有變化局部電阻的區域位於該離子電阻性離子可通透性元件的中央部分,且其中該具有變化局部電阻的區域中的該離子電阻性離子可通透性元件的厚度,從與該具有不變厚度的區域交界之處朝該離子電阻性離子可通透性元件的中央徑向地減小。
  15. 如申請專利範圍第1項之電鍍設備,其中該離子電阻性離子可通透性元件具有可變的厚度,其中該厚度之變化介於該離子電阻性離子可通透性元件之最大厚度的約3-100%。
  16. 如申請專利範圍第1項之電鍍設備,其中該離子電阻性離子可通透性元件實質上與該半導體基板共同延伸,且具有形成在離子電阻性材料之中的約6000-12000個未相連通道。
  17. 如申請專利範圍第1項之電鍍設備,其中在電鍍期間,該離子電阻性離子可通透性元件的該面基板表面與該半導體基板的一鍍覆面分開約10毫米或更小的間隙。
  18. 如申請專利範圍第17項之電鍍設備,更包含:通往該間隙之一入口,用以引導流到該間隙的電解液;以及通往該間隙之一出口,用以接收流經該間隙的電解液,其中該入口與該出口設置於該半導體基板的該鍍覆面的方位角對側圓周位置附近,且其中該入口與該出口適於在該間隙中產生電解液的跨流。
  19. 一種電鍍方法,用以在包含複數凹陷特徵部的半導體基板上電鍍金屬,該方法包含下列步驟: (a)      提供該半導體基板至一鍍覆腔室,其配置以在將金屬電鍍於該半導體基板上時容納電解液及一陽極;其中該鍍覆腔室包括: (i)     一基板固持器,其固持該半導體基板,使得該半導體基板的一鍍覆面在電鍍期間與該陽極分開;以及 (ii)   一離子電阻性離子可通透性元件,其包含實質上平面的一面基板表面及一對側表面;其中該離子電阻性離子可通透性元件允許離子電流在電鍍期間透過該離子電阻性離子可通透性元件朝該半導體基板流動;且其中該離子電阻性離子可通透性元件包含一具有變化局部電阻的區域; (b)      將金屬電鍍於該鍍覆面上,同時將該半導體基板施加陰極偏壓並將之旋轉。
  20. 一種電鍍設備,包含: (a)      一鍍覆腔室,配置以在將金屬電鍍於一半導體基板上時容納電解液及一陽極; (b)      一基板固持器,配置以固持該半導體基板,使得該半導體基板的一鍍覆面在電鍍期間與該陽極分開; (c)      一離子電阻性離子可通透性元件,其中該離子電阻性離子可通透性元件允許離子電流在電鍍期間透過該離子電阻性離子可通透性元件朝該半導體基板流動;且其中該離子電阻性離子可通透性元件包含一方位角不對稱性離子可通透性區域,而該方位角不對稱性離子可通透性區域具有與該離子電阻性離子可通透性元件的其他區域之平均電阻不同的平均電阻。
  21. 一種電鍍方法,包含下列步驟: (a)      提供一半導體基板至包括下列元件的一電鍍設備: (i)     一鍍覆腔室,配置以在將金屬電鍍於一半導體基板上時容納電解液及一陽極; (ii)   一基板固持器,配置以固持該半導體基板,使得該半導體基板的一鍍覆面在電鍍期間與該陽極分開; (iii) 一離子電阻性離子可通透性元件,其中該離子電阻性離子可通透性元件允許離子電流在電鍍期間透過該離子電阻性離子可通透性元件朝該半導體基板流動;且其中該離子電阻性離子可通透性元件包含一方位角不對稱性離子可通透性區域,而該方位角不對稱性離子可通透性區域具有與該離子電阻性離子可通透性元件的其他區域之平均電阻不同的平均電阻; (b)      將金屬電鍍於該半導體基板上,同時相對於該離子電阻性離子可通透性元件旋轉該半導體基板,使得該半導體基板的所選區域以不同於該半導體基板的另一區域之時間量,存在於該離子電阻性離子可通透性元件的該方位角不對稱性離子可通透性區域(其具有與該離子電阻性離子可通透性元件的其他區域不同的電阻)之上,其中該半導體基板的該另一區域具有與該半導體基板的所選區域相同的面積、相同的平均徑向位置、及相同的平均弧長,但駐留在不同的方位角位置。
  22. 如申請專利範圍第19或21項之電鍍方法,更包含下列步驟: (a)  塗佈光阻劑至該半導體基板; (b) 將該光阻劑曝光; (c)  將該光阻劑圖案化並將圖案轉移至半導體基板;並且 (d) 選擇性地將該光阻劑從該半導體基板上移除。
  23. 一種系統,包含申請專利範圍第1或20項之電鍍設備以及一步進器。
  24. 一種如申請專利範圍第1或20項之電鍍設備,更包含一控制器,其具有用以將金屬電鍍於該半導體基板上的程式指令。
TW105114493A 2015-05-14 2016-05-11 藉由使用具有隨空間而設計的電阻之離子電阻性離子可滲透性元件的金屬之電沉積設備及方法 TWI758248B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/712,553 US10014170B2 (en) 2015-05-14 2015-05-14 Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US14/712,553 2015-05-14

Publications (2)

Publication Number Publication Date
TW201710568A true TW201710568A (zh) 2017-03-16
TWI758248B TWI758248B (zh) 2022-03-21

Family

ID=57275963

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105114493A TWI758248B (zh) 2015-05-14 2016-05-11 藉由使用具有隨空間而設計的電阻之離子電阻性離子可滲透性元件的金屬之電沉積設備及方法

Country Status (3)

Country Link
US (2) US10014170B2 (zh)
CN (2) CN110306224B (zh)
TW (1) TWI758248B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI814428B (zh) * 2022-06-08 2023-09-01 日商荏原製作所股份有限公司 鍍覆裝置

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10167567B2 (en) * 2015-11-30 2019-01-01 Taiwan Semiconductor Manufacturing Company Limited High resistance virtual anode for electroplating cell
WO2017120003A1 (en) * 2016-01-06 2017-07-13 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition
US10692735B2 (en) * 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US10781527B2 (en) * 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN107955958A (zh) * 2017-11-17 2018-04-24 德淮半导体有限公司 晶圆的金属电镀装置
ES2772938A1 (es) * 2018-12-21 2020-07-08 Srg Global Liria S L Cromado selectivo con interfaz nivelada
US11608563B2 (en) 2019-07-19 2023-03-21 Asmpt Nexx, Inc. Electrochemical deposition systems
US11753736B2 (en) * 2020-11-16 2023-09-12 Raytheon Company Indium electroplating on physical vapor deposition tantalum
CN114829681B (zh) * 2020-11-16 2023-09-05 株式会社荏原制作所 板、镀敷装置和板的制造方法
CN112831821A (zh) * 2021-01-04 2021-05-25 长江存储科技有限责任公司 晶圆的电镀装置及电镀方法
WO2022164695A1 (en) * 2021-02-01 2022-08-04 Lam Research Corporation Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating
CN115768928A (zh) * 2021-03-19 2023-03-07 朗姆研究公司 使用空间上定制为管芯级图案的离子阻性离子可渗透元件或屏蔽件在衬底上电沉积金属
JP7098089B1 (ja) * 2022-02-07 2022-07-08 株式会社荏原製作所 めっき装置
JP7174201B1 (ja) * 2022-06-01 2022-11-17 株式会社荏原製作所 めっき装置

Family Cites Families (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
JPS5657836A (en) 1979-10-16 1981-05-20 Asahi Chem Ind Co Ltd Porous hydrophilic polyolefin resin membrane and its preparation
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4389297A (en) 1980-10-09 1983-06-21 Ppg Industries, Inc. Permionic membrane electrolytic cell
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298A (ja) 1983-03-07 1984-09-13 Kawasaki Steel Corp 金属ストリツプの高電流密度めつき方法
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
DE3870685D1 (de) 1987-02-23 1992-06-11 Siemens Ag Galvanisiereinrichtung zur erzeugung von hoeckern auf chip-bauelementen.
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US5035784A (en) 1987-07-27 1991-07-30 Wisconsin Alumni Research Foundation Degradation of organic chemicals with titanium ceramic membranes
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5000827A (en) 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
DE4107200A1 (de) 1991-03-06 1992-09-10 Siemens Ag Verfahren und anlage zur thermischen abfallbehandlung
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5332487A (en) 1993-04-22 1994-07-26 Digital Equipment Corporation Method and plating apparatus
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
JP2590700B2 (ja) 1993-09-16 1997-03-12 日本電気株式会社 投影露光装置
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
AU7403694A (en) 1994-07-19 1996-02-16 American Plating Systems, Inc. Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5816900A (en) 1997-07-17 1998-10-06 Lsi Logic Corporation Apparatus for polishing a substrate at radially varying polish rates
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
EP1027481A1 (en) 1997-09-30 2000-08-16 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
EP1055020A2 (en) 1998-02-12 2000-11-29 ACM Research, Inc. Plating apparatus and method
KR100616198B1 (ko) 1998-04-21 2006-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판상에 전기도금하는 전기화학적 증착 시스템 및 방법
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
US6261426B1 (en) 1999-01-22 2001-07-17 International Business Machines Corporation Method and apparatus for enhancing the uniformity of electrodeposition or electroetching
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
JP4288010B2 (ja) 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6692588B1 (en) 1999-07-12 2004-02-17 Nutool, Inc. Method and apparatus for simultaneously cleaning and annealing a workpiece
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US7141146B2 (en) 2000-02-23 2006-11-28 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP4368543B2 (ja) * 2001-07-25 2009-11-18 シャープ株式会社 メッキ方法およびメッキ装置
US6727176B2 (en) 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
JP2003318395A (ja) 2002-04-19 2003-11-07 Hitachi Ltd 半導体装置の製造方法
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US6811669B2 (en) 2002-08-08 2004-11-02 Texas Instruments Incorporated Methods and apparatus for improved current density and feature fill control in ECD reactors
JP3477469B1 (ja) 2002-10-08 2003-12-10 東京エレクトロン株式会社 液処理装置及び液処理方法
US6773570B2 (en) 2002-11-14 2004-08-10 International Business Machines Corporation Integrated plating and planarization process and apparatus therefor
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
JP2004225129A (ja) * 2003-01-24 2004-08-12 Ebara Corp めっき方法及びめっき装置
CN100487855C (zh) 2003-10-21 2009-05-13 塞米特公司 用于处理工件的***
TWI355676B (en) 2003-10-21 2012-01-01 Semitool Inc System for processing a workpiece
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP3715637B2 (ja) 2004-03-11 2005-11-09 新光電気工業株式会社 めっき方法
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7563348B2 (en) 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
TW200633038A (en) 2004-11-19 2006-09-16 Asm Nutool Inc Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US20070238265A1 (en) 2005-04-05 2007-10-11 Keiichi Kurashina Plating apparatus and plating method
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7935240B2 (en) 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
KR100657600B1 (ko) 2005-10-24 2006-12-19 (주)씨-넷 엘씨디모듈의 백라이트 유니트용 커넥터
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US9045840B2 (en) * 2011-11-29 2015-06-02 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
CN201016123Y (zh) * 2007-03-06 2008-02-06 厦门弘信电子科技有限公司 用于柔性电路板电镀工艺的阴极挡板结构
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
JP5351479B2 (ja) 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US20120261254A1 (en) 2011-04-15 2012-10-18 Reid Jonathan D Method and apparatus for filling interconnect structures
US20140124361A1 (en) 2008-11-07 2014-05-08 Lam Research Corporation Method and apparatus for filling interconnect structures
CN201424517Y (zh) * 2009-03-10 2010-03-17 深圳大学反光材料厂 改良型电镀装置
CN201424518Y (zh) * 2009-03-10 2010-03-17 深圳大学反光材料厂 一种电镀装置
US20110226613A1 (en) 2010-03-19 2011-09-22 Robert Rash Electrolyte loop with pressure regulation for separated anode chamber of electroplating system
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
KR101036938B1 (ko) 2011-02-14 2011-05-25 나병철 배선 및 통신중계 박스 설치용 브라켓
CN108330518B (zh) 2011-04-15 2020-06-12 诺发***有限公司 用于填充互连结构的方法及设备
CN102296344A (zh) 2011-09-06 2011-12-28 奥特斯维能源(太仓)有限公司 改善电镀均匀性的太阳能电池片电镀设备
CN103215618A (zh) * 2012-01-18 2013-07-24 昆山允升吉光电科技有限公司 一种电铸阳极挡板开孔形状调整方法
CN102560612B (zh) 2012-02-08 2015-04-15 南通富士通微电子股份有限公司 电镀用阳极组件和电镀装置
CN103628120A (zh) * 2012-08-27 2014-03-12 郭明宏 电镀辅助板及应用其的电镀设备
CN202913068U (zh) * 2012-10-31 2013-05-01 金鹏源康(广州)精密电路有限公司 一种连续环形电镀铜挂具
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
CN108396347B (zh) * 2018-05-04 2019-12-31 陈蓓 电镀阻挡件及其制作方法以及图形电镀方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI814428B (zh) * 2022-06-08 2023-09-01 日商荏原製作所股份有限公司 鍍覆裝置

Also Published As

Publication number Publication date
CN106149024B (zh) 2019-07-23
US10923340B2 (en) 2021-02-16
CN106149024A (zh) 2016-11-23
US10014170B2 (en) 2018-07-03
TWI758248B (zh) 2022-03-21
CN110306224B (zh) 2022-04-05
US20180286660A1 (en) 2018-10-04
US20160333495A1 (en) 2016-11-17
CN110306224A (zh) 2019-10-08
KR20160134532A (ko) 2016-11-23

Similar Documents

Publication Publication Date Title
TWI758248B (zh) 藉由使用具有隨空間而設計的電阻之離子電阻性離子可滲透性元件的金屬之電沉積設備及方法
KR102533812B1 (ko) 균일한 전기도금을 위한 전해액 플로우 역학의 제어
TWI700395B (zh) 電鍍中之方位角均勻性的調變設備及方法
KR102205977B1 (ko) 맞춤형 균일도 프로파일을 위한 전기도금 장치
TWI697587B (zh) 藉由使用遠程電流之電鍍均勻性的動態控制設備及方法
KR102383143B1 (ko) 전기도금 동안 교차 플로우 매니폴드의 동적 변조
CN112160003B (zh) 电镀装置中的电流密度的控制
TWI595123B (zh) 動態電流分布控制設備及晶圓電鍍用方法
TWI662160B (zh) 非等向性高電阻離子電流源
TW201706461A (zh) 用於超高每分鐘轉數電鍍之幾何與程序最佳化
WO2019079193A1 (en) CONVECTION OPTIMIZATION FOR ELECTROLYTIC VENEERING WITH MIXED CHARACTERISTIC ELEMENTS
US20160208402A1 (en) Electroplating apparatus with membrane tube shield
KR102690132B1 (ko) 공간적으로 맞춰진 저항률을 갖는 이온 저항성 이온 투과성 엘리먼트의 사용을 통한 금속들의 전착을 위한 장치 및 방법
CN210215601U (zh) 一种有源阳极和一种用于在衬底上电镀金属的电镀装置
US20240141541A1 (en) Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate
JP2023551491A (ja) めっき装置およびめっき方法
CN115803480A (zh) 用于在电镀期间调整流体动力学的空间及尺寸上非均一槽形板