TW201704516A - 在四乙氧基矽烷氧化物膜沉積期間使接縫效應最小化的方法及設備 - Google Patents

在四乙氧基矽烷氧化物膜沉積期間使接縫效應最小化的方法及設備 Download PDF

Info

Publication number
TW201704516A
TW201704516A TW105106606A TW105106606A TW201704516A TW 201704516 A TW201704516 A TW 201704516A TW 105106606 A TW105106606 A TW 105106606A TW 105106606 A TW105106606 A TW 105106606A TW 201704516 A TW201704516 A TW 201704516A
Authority
TW
Taiwan
Prior art keywords
panel
gas injection
injection holes
center
inches
Prior art date
Application number
TW105106606A
Other languages
English (en)
Other versions
TWI714557B (zh
Inventor
阿魯爾 N 達斯
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201704516A publication Critical patent/TW201704516A/zh
Application granted granted Critical
Publication of TWI714557B publication Critical patent/TWI714557B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)

Abstract

在溝槽填充製程(其在半導體基板電漿處理設備中於半導體基板上執行)期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,包含將半導體基板支承在其真空腔室中的支座上。使包括TEOS、氧化劑、及氬的製程氣體經由噴淋頭組件的面板而流進真空腔室的處理區域中。RF能量將該製程氣體激發成電漿,其中在半導體基板之上沉積TEOS氧化物膜,以填充其至少一溝槽。供應足以增加電漿之電子密度的量的氬,藉以提高TEOS氧化物膜朝向半導體基板之中心的沉積速率,並減小該至少一溝槽中所沉積的TEOS氧化物膜之接縫效應。

Description

在四乙氧基矽烷氧化物膜沉積期間使接縫效應最小化的方法及設備
本文中揭露之實施例係關於用以在半導體基板處理期間在半導體基板上沉積介電性膜的方法與設備,且更具體而言係關於在TEOS氧化物膜沉積期間使接縫效應最小化的方法及設備。
TEOS(四乙氧基矽烷)為在室溫下為液體的含矽化合物。TEOS在許多應用中被使用於(例如)取代矽烷而在基板上沉積介電性膜。因為由TEOS化學氣相沉積製程沉積的二氧化矽(或「TEOS氧化物」)薄膜具有良好的保形性,所以TEOS被使用於要求保形性的應用中。通常以電漿增強化學氣相沉積(PECVD)製程來沉積TEOS氧化物。
以TEOS為基礎的PECVD製程一般涉及將基板暴露到包含TEOS與氧化劑(例如氧或臭氧)的製程氣體。在半導體基板處理的溝槽填充製程期間的TEOS氧化物沉積作用之結果可能形成不均勻的沉積與階梯覆蓋率,由於被沉積在溝槽之側壁上的所沉積材料之突出物,這可能造成空隙及/或接縫形成在所沉積的膜中(即接縫效應)。因此,吾人期望有高沉積速率、高純度的用以沉積TEOS氧化物膜之製程,其中缺陷(例如接縫效應)被最小化。
本文中揭露在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,該溝槽填充製程係在半導體基板電漿處理設備中於半導體基板上執行。該方法包括下列步驟:將半導體基板支承在該半導體基板電漿處理設備的真空腔室中的支座上,其中該半導體基板包括至少一溝槽位於其上表面中。使包括TEOS、氧化劑、及氬的製程氣體經由該半導體基板電漿處理設備的噴淋頭組件的面板而流進該真空腔室在半導體基板之上表面上方的處理區域中。使用至少一RF產生器來供應RF能量至該真空腔室的該處理區域,以將該製程氣體激發成電漿,其中將TEOS氧化物膜沉積在該半導體基板之上表面上,以填充其至少一溝槽,其中供應足以增加電漿之電子密度的量的氬,藉以提高該TEOS氧化物膜朝向該半導體基板之中心的沉積速率,並減小該至少一溝槽中所沉積的TEOS氧化物膜之接縫效應。
本文中亦揭露半導體基板電漿處理設備的噴淋頭組件。該噴淋頭組件包含:面板,其包括下壁、以及從該下壁的外周部向上延伸的環狀外壁;以及背板,其中該背板的外周部被焊接在該面板的向上延伸的環狀壁上,使得該面板的下壁與該背板之間形成空腔。該面板的下壁包括至少6000個延伸於其中的氣體注入孔洞,其中就空間上而言,該至少6000個氣體注入孔洞被設置在該面板的下壁中,俾使輸送到該空腔中並經由該至少6000個氣體注入孔洞射出的製程氣體,在TEOS氧化物溝槽填充操作期間將被沉積在半導體基板的至少一溝槽中的TEOS氧化物膜的接縫效應最小化。
在接下來的詳細揭露中闡述例示性實施例,以提供本文中揭露的設備與方法之理解。然而很明顯的是,對於所屬技術領域具有通常知識者而言,毋須該等具體的細節,或者使用替代的元件或方法,而能實施該等例示性實施例。在其他例子中,為了避免不必要地混淆本文中揭露之實施例的態樣,熟知的方法、步驟及/或元件沒有詳細地敘述。圖式中相似的數字符號代表相似的元件。如本文中使用的詞彙「大約」,係意指± 10%。
四乙氧基矽烷 (TEOS)為含矽化合物,其在室溫下為液體,且在許多應用中,其用以在半導體基板電漿處理設備中於半導體基板上沉積TEOS氧化物膜。針對要求良好保形性的應用而言,例如當半導體基板表面包含凹陷特徵部或其他不平整部分時,常使用TEOS來取代矽烷。
用TEOS沉積的二氧化矽膜(亦稱為TEOS氧化物膜或TEOS膜),可使用包含TEOS與氧化劑(一般為氧或臭氧)的製程氣體、並藉由電漿增強化學氣相沉積製程或電漿增強原子層沉積製程來沉積。
本文中揭露之方法的實施例,可在電漿增強化學氣相沉積(PECVD)反應器中實行,或替代地在電漿增強原子層沉積(PEALD)反應器中實行。此類反應器可具有許多不同的形式。該設備可包括一或多個真空腔室(腔室)或「反應器」(有時包括複數個站),其各可容置一或多個半導體基板且適合進行半導體基板電漿處理。該一或多個腔室將半導體基板維持在界定的位置或複數位置中(其中在該位置中有或無運動,例如旋轉、震動、或其他攪動)。在一實施例中,經受沉積處理的半導體基板在處理期間於一反應器腔室內從一站被傳送到另一站。例如,根據本文中揭露之實施例,若欲在半導體基板的上表面上沉積2000Å 的薄膜,則可在四站的每一站中在半導體基板的上表面上沉積500Å的薄膜。替代地,TEOS氧化物膜沉積可完全在單一個站中進行,或可在任何數量的站中沉積總薄膜厚度之任何比例。
在處理期間,各個半導體基板被支座(即半導體基板支架)、靜電卡盤、及/或其他基板固持設備固持在位置上。 針對其中待加熱半導體基板的某些操作而言,基板支架或支座可包括加熱器,例如熱板。
圖1提供簡易方塊圖,描繪設置以實施如本文中揭露之實施例的反應器的各種元件。如所示,半導體基板電漿處理設備300包括真空腔室324,其包圍該反應器的其他元件,並作用以容納由電容器型系統所產生的電漿,該電容型系統包括與接地的加熱器組件320連接地運作的噴淋頭組件314。至少一個RF產生器可操作以供應RF能量至真空腔室324中的半導體基板316之上表面上方的處理區域中,以將被供應到真空腔室324的處理區域中的製程氣體激發成電漿,進而在真空腔室324中執行電漿沉積製程。例如,可將高頻RF產生器302與低頻RF產生器304各連接到匹配網路306,而匹配網路306與噴淋頭組件314連接,以便供應RF能量至真空腔室324中的半導體基板316之上方的處理區域中。由匹配網路306供應到真空腔室324之內部的RF能量的功率與頻率,足以從製程氣體產生電漿。在一實施例中,高頻RF產生器302 係操作在約2-60 MHz的頻率下,且在一較佳實施例中,高頻RF產生器302 係操作在約13.56 MHz的頻率下。在一實施例中,高頻RF產生器302 的功率約為 1100 到1700 W。在一實施例中,低頻RF產生器304係操作在約50 到 800 kHz的頻率下,較佳地係約 300到500 kHz,且低頻RF產生器304的功率約為 1550到2400 W。
在該反應器中,半導體基板支座318支承半導體基板316。支座可包括在沉積及/或電漿處理過程中及期間內固持並傳送半導體基板的卡盤、叉狀物、或升降梢。卡盤可為靜電卡盤、機械卡盤、真空卡盤、或產業及/或研究之用途中可用的各種其他類型的卡盤。包括靜電卡盤的支座中使用的升降梢組件的細節可見於共同受讓的美國專利第8840754號,該案以全文加入本案之參考資料。
經由進氣道312與噴淋頭組件314將製程氣體引入真空腔室324中。複數個來源氣體管路310可與加熱分歧管308連接。氣體可被預先混合或不預先混合。應用合適的閥調元件及質流控制機制,來確保正確的氣體在電漿沉積期間被輸送。當化學前驅物(或複數化學前驅物) 係以液態形式(例如液態TEOS)輸送時,可應用液流控制機制(例如液態前驅物輸送系統341與液體供應管路301)來控制所供應之液態前驅物的流率,其中由液態前驅物輸送系統341所供應的液體在其輸送到加熱分歧管的期間或在加熱分歧管308中被加熱至其汽化點以上,並在加熱分歧管308中與其他的製程氣體混合,其中包括經汽化的液態前驅物(例如經汽化的TEOS) 的製程氣體,經由噴淋頭組件314被供應到隨後執行電漿沉積的真空腔室324中。液態前驅物(例如液態TEOS)在汽化之前的流率對應到隨後經汽化的前驅物被輸送到真空腔室中的量。沉積設備中使用的液態前驅物輸送系統之細節可見於共同受讓的美國專利第8017527號,該案以全文加入本案之參考資料。
製程氣體可經由排氣道322離開真空腔室324。真空泵浦326(例如一或二階段機械乾式泵浦及/或渦輪分子泵浦)可將製程氣體從真空腔室324抽出,且可透過閉迴路控制流量限制裝置(例如節流閥或鐘擺閥)來維持真空腔室324中適當地低的壓力。較佳地,在TEOS氧化物膜沉積期間,真空腔室324中的壓力被維持在約3-5 Torr或約 4 Torr。
如前文解釋,在溝槽填充製程中,例如在形成TEOS氧化物層100的TEOS氧化物沉積製程中,對於以液體為基礎的PECVD及/或PEALD製程的其中一個顧慮為形成不均勻的沉積及/或階梯覆蓋率。例如,如圖2A所示,先前技術的TEOS氧化物沉積製程,在半導體基板50(其被TEOS氧化物層100的TEOS氧化物材料填充)的DRAM記憶胞(cell)特徵部的溝槽102的側壁103上產生嚴重的TEOS氧化物材料之「凸出物」沉積。嚴重的「凸出物」導致「牙根」形狀105與尖銳的接縫隅角104的形成,「牙根」形狀105與尖銳的接縫隅角104係在例如DRAM記憶胞結構中的TEOS氧化物層100的TEOS氧化物材料中形成,其中接下來的處理(例如濕清潔處理)可能產生間隙、裂紋、並造成裝置失敗。
本文中揭露之方法與設備的實施例將溝槽填充處理(例如半導體基板的DRAM記憶胞特徵部形成期間的溝槽填充處理)期間的TEOS氧化物沉積之接縫效應最小化。例如,圖2B顯示經處理的半導體基板250(在已對半導體基板250執行溝槽填充處理與濕清潔處理之後),其已根據本文中揭露之實施例在如本文中揭露之設備上進行處理。如圖2B所示,半導體基板250的DRAM記憶胞特徵部不具有尖銳的接縫隅角,這係因為TEOS氧化物層200的沉積的TEOS氧化物材料中的接縫效應已被最小化,且在所沉積的TEOS氧化物層200的材料中未形成「牙根」形狀。所沉積的TEOS氧化物層200的厚度約為23500 Å,且如圖2B所示,所沉積的TEOS氧化物層200在整個記憶胞特徵部中係均勻的,且接縫效應被減小(最小化)(亦即,可能作為裂紋擴張以及間隙形成之成核點的接縫角度變小)。
在一實施例中, TEOS氧化物的沉積方法包括提供半導體基板到半導體基板電漿處理設備(例如PECVD或PEALD設備)的真空腔室中。該半導體基板較佳地為具有至少約300mm之直徑的半導體基板。TEOS氧化物膜之應用範例於後文中描述。然後將包括蒸氣形式的TEOS(TEOS氣體)、氧化劑、氦、及氬的製程氣體引導進該真空腔室中。氧化劑的範例可包括氧及臭氧。製程氣體亦可包括一或多個摻雜劑氣體,包括二氧化碳。然後可藉由高沉積速率的PECVD或PEALD反應將TEOS氧化物膜沉積在基板表面上。
初始沉積速率較佳地至少約7000 Å/分鐘。在一實施例中,初始沉積速率至少約8000 Å/分鐘、約9000 Å/分鐘、約9500 Å/分鐘、9700 Å/分鐘、及10000 Å/分鐘。沉積速率隨著薄膜積累在基板上而提高;就上方已積累厚薄膜層的基板而言,沉積速率可為20000-30000 Å/min左右。 為了以高的沉積速率來沉積薄膜,液態 TEOS與氧化劑 (氣態形式)的流率很高。例如,汽化之前的液態 TEOS之流率的範圍可約自11到17 ml/min。在特定實施例中, 汽化之前的液態 TEOS之流率可至少約 13 ml/min、14 ml/min、或 15 ml/min。較佳地,汽化之前的液態 TEOS之流率可約14 ml/min。該氧化劑可為 O2 或臭氧,其中該氧化劑較佳地為O2 。氧化劑之流率的範圍可約自15200 sccm到23000 sccm,或在特定實施例中,約自18000到20000 sccm,且較佳地約19000 sccm。
根據許多實施例,氦之流率的範圍可約自1000到5000 sccm,以及約3000到5000 sccm,或在某些實施例中約 3500到4500 sccm,且較佳地約4000 sccm。在製程氣體混合物中加入氦,增加了朝向處理區域(位在半導體基板之上表面上方)之外周部的電漿密度以及電漿中的電子數量(即電子密度),藉此提高處理期間朝向半導體基板之外周部的TEOS氧化物沉積速率。在製程氣體混合物中加入氦的更多有利效果討論於共同受讓之美國專利第7923376號,該案以全文加入本案之參考資料。
根據許多實施例,氬之流率的範圍可約自2000 到6000 sccm、或約3000 到5000 sccm。提供氬到製程氣體混合物中,增加了朝向處理區域(位在半導體基板之上表面上方)之中心的電漿中的電子數量(即電子密度) 以及電漿密度,藉此提高處理期間朝向半導體基板之中心的TEOS氧化物沉積速率。因此,可透過控制氬與氦在製程氣體混合物中的個別的流率,進而控制TEOS氧化物膜在半導體基板之總體上表面中的沉積速率,俾使溝槽(形成在半導體的上表面中)中的所沉積TEOS氧化物膜的接縫效應可最小化。
製程氣體的總流率與真空腔室中的其他條件可根據下列因素而變化:RF功率、腔室壓力、基板表面溫度、基板尺寸、及其他因素。前述的流率係針對300mm的半導體晶圓;所屬技術領域中具有通常知識者知悉,流率可經調整,以在200mm或450mm尺寸的基板上、或在更大的基板(例如用於平板裝置的基板)上進行TEOS氧化物的高沉積速率PECVD。基板溫度約300º C 到 550º C,且較佳地約375 º C, 其中整個基板上的溫度均勻性較佳地小於2º C。
PECVD TEOS氧化物薄膜的應用可包括溝槽填充操作、層間介電質、介電性間隙填充、閘極介電質、阻障物及蓋層。如前文指出,當氬包含於製程氣體中時,以TEOS沉積的矽氧化物膜具有超越未使用氬的PECVD製程的改良的保形性與階梯覆蓋率。此外,本文中揭露之方法的實施例可與其他製程合併,例如PECVD TEOS間隙填充製程可使濺射蝕刻步驟與TEOS氧化物沉積交替進行。
反應物氣體的相對流率以及RF功率可視沉積薄膜期望之特性而定。例如,在某些應用中,需要拉伸性薄膜。蝕刻選擇性係由薄膜壓力控制。因此,可控制薄膜壓力,藉此針對所給定之應用調適蝕刻選擇性。較佳地,所沉積之薄膜可具有介於約0 到 150 Mpa之間的拉伸膜應力;且在某些實施例中,至少50 Mpa。
在實施例中,例如在溝槽填充的應用中,所期望的薄膜具有高的壓縮膜應力。較佳地,所產生的薄膜可具有介於約150-400 Mpa之間的壓縮膜應力。
圖3A顯示先前技術中在半導體基板上的TEOS氧化物薄膜的沉積溝槽填充製程的實施例,其具有14ml/min的汽化之前的液態TEOS流率、1000 sccm的氦流率、以及15000 sccm 的O2 流率。在沉積期間,將真空腔室維持在約2.4 Torr,而高頻 RF產生器之功率約250 W且低頻RF產生器之功率約2220 W。如圖3A所示,呈現出接縫效應,其中所沉積的TEOS氧化物膜具有約60度的接縫角度,且裂紋於濕清潔處理已執行在半導體基板上之後形成在沉積的材料中。
根據本文中揭露之方法的較佳實施例,且如圖3B描繪的,因為沉積材料的接縫角度被縮小到約55度,接縫效應被最小化。在此實施例中,裂紋未在執行濕清潔處理之後形成在沉積的材料中。為達到最小化接縫效應,電漿沉積製程包括約14ml/min的汽化之前的液態TEOS流率、約4000 sccm的氦流率、約3000 sccm的氬流率、以及約19000 sccm 的O2 流率。在沉積期間,將真空腔室維持在約4 Torr,而高頻 RF產生器之功率約1400 W且低頻RF產生器之功率約1950 W。
圖4A與4B描繪可根據如本文中揭露之方法的實施例而使用的噴淋頭組件314之實施例。噴淋頭組件314包括桿部404、背板406、及面板410。面板410較佳地包括下壁421,以及從下壁421的外周部向上延伸的環狀壁422。下壁421包括電漿暴露表面424。面板410的電漿暴露表面424的直徑可稍大於半導體基板(其被支承在面板410的電漿暴露表面424的下方)的直徑,較佳地為半導體基板之直徑的約100%到125%。例如,針對直徑300mm(12英吋)的半導體基板,面板410的電漿暴露表面424的直徑可約13-15英吋。
面板410的下壁421以及背板406可各具有約0.125到 0.5英吋、或約0.25到0.5英吋、或約0.25到0.375英吋的厚度。較佳地,面板410的下壁421具有0.375英吋的厚度,使得面板410的整個電漿暴露表面424上形成最小的溫度梯度。面板410可由鋁或鋁合金、陽極或鍍層鋁製成、或由配製成抗高溫、化學及電漿性的其他金屬製成。背板406可由鋁或鋁合金、陽極或鍍層鋁製成、或由配製成抗高溫、化學及/或電漿的其他金屬製成。
在一實施例中,背板406約0.5英吋厚,且面板410的下壁421約0.375英吋。背板406與面板410向上延伸的環狀壁422接合,進而在面板410的下壁421以及背板406之間形成空腔408。較佳地,將背板406焊接在面板410向上延伸的環狀壁422上,藉以將面板410整體地耦接到背板406。在替代實施例中,可使用螺栓以將面板410可鬆開地耦接到背板406。
桿部404從噴淋頭組件314的背板406向上延伸。在一實施例中,桿部404的下端可焊接在背板406上。反應物氣體經由桿部404中的氣體進氣通道402、流經背板406、被引進背板406與面板410之間的空腔408中。設置在空腔408中的緩衝板412將氣體均勻地散布在整個空腔408中。緩衝板412可經由緩衝板中的螺紋插件或螺紋孔洞(未顯示)以及複數個螺栓而附接到背板406,或替代地,緩衝板412可焊接到背板406上。
空腔408的容積由背板406與面板410之間的間隙來界定。該間隙可約0.5到1英吋,較佳地約0.75英吋。為維持間隙中均勻的氣體流量,該間隙的尺寸可不隨柱狀物440的數量而變,其中柱狀物440設置在背板406與面板410之間的多個位置(例如3、6、或多達10個位置)中。較佳地,面板410包括整體地形成在其中的柱狀物440,而背板406包括相對應的開口441,其係設置以在將背板406耦接至面板410時接收柱狀物440的上端。較佳地,柱狀物440的上端焊接在背板406上。面板410包括氣體注入孔洞444(請見圖5A),使得被輸送到噴淋頭組件314的空腔408中的製程氣體可透過氣體注入孔洞444而噴射到半導體基板上方的處理區域中,且使得材料(例如TEOS氧化物膜)可沉積在半導體基板的上表面上,其中該半導體基板係設置在噴淋頭組件314的面板410的下壁421之電漿暴露表面424的下方。
圖5A根據本文中揭露之實施例顯示噴淋頭組件314(請見圖4A)的面板410的下壁421之電漿暴露表面424之實施例,且圖5B顯示圖5A的細節J的放大圖。如圖5A所示,面板410包括複數個氣體注入孔洞或開口444,各個氣體注入孔洞或開口444沿著面板410的下壁421之厚度延伸,使得製程氣體可經由面板410而被供應(射出)。氣體注入孔洞444在空間上設置於面板410的下壁421中,使得當根據如本文中揭露之方法的實施例進行處理時,在半導體基板的整個上表面上發生均勻的沉積作用。在一實施例中,至少6000個氣體注入孔洞444在空間上設置於面板410的下壁421中,使得輸送到空腔408(請見圖4B)中並經由至少6000個氣體注入孔洞444射出的製程氣體將TEOS氧化物膜(其在TEOS氧化物溝槽填充操作期間被沉積在半導體基板的至少一溝槽中)的接縫效應最小化。
氣體注入孔洞444可經切削、碾磨、或鑽孔成形。各氣體注入孔洞444可具有約0.01到0.5英吋的直徑,且較佳地具有約 0.04英吋的直徑。替代地,氣體注入孔洞444可包括較小直徑的孔洞與較大直徑的孔洞。在一實施例中,氣體注入孔洞444中的若干者可具有不同的尺寸。例如,面板可包括選擇性中央氣體注入孔洞444a(請見圖5B),其中中央氣體注入孔洞444a的直徑可大於、等於、或小於其他氣體注入孔洞444的直徑。在一實施例中,在由氣體注入孔洞組成的同心圓中的氣體注入孔洞444各具有相同的直徑,或替代地,在氣體注入孔洞444的一同心圓中的氣體注入孔洞444具有比由氣體注入孔洞組成的相鄰同心圓中者的直徑更大或更小的直徑。在一較佳實施例中,面板410包括中央氣體注入孔洞444a,其中之中央氣體注入孔洞444a具有約0.02英吋的直徑,而圍繞中央氣體注入孔洞444a的其他氣體注入孔洞444各具有約0.04英吋的直徑。
氣體注入孔洞444的設置方式,以及每個氣體注入孔洞444(包括選擇性中央氣體注入孔洞444a)的個別的直徑,控制經由面板410而供應的製程氣體的散布,且因此控制流動到半導體基板的整個上表面上的製程氣體之成分的駐留時間。在一實施例中,面板410包括至少約6000個氣體注入孔洞444。氣體注入孔洞444被設置在圍繞面板410之中心的同心圓中,其中在面板410之中心周圍,氣體注入孔洞的各個同心圓中相鄰氣體注入孔洞444之間的距離較佳地等距離地間隔。
較佳地,面板410包括中央氣體注入孔洞444a(請見圖5B)以及圍繞中央氣體注入孔洞444a的氣體注入孔洞444的複數個同心圓。在一實施例中,面板410不包括中央氣體注入孔洞444a。
在一實施例中,如圖5A所示,該面板410包括圍繞選擇性中央氣體注入孔洞444a(請見圖5B)的45個由氣體注入孔洞444組成的同心圓,其中第一個同心圓具有9個氣體注入孔洞,位在距面板410的中心約0.1-0.2英吋的徑向距離之處;第二個同心圓具有16個氣體注入孔洞,位在距該面板的中心約0.2-0.3英吋的徑向距離之處;第三個同心圓具有21個氣體注入孔洞,位在距面板410的中心約0.4-0.5英吋的徑向距離之處;第四個同心圓具有27個氣體注入孔洞,位在距面板410的中心約0.5-0.6英吋的徑向距離之處;第五個同心圓具有34個氣體注入孔洞,位在距面板410的中心約0.6-0.7英吋的徑向距離之處;第六個同心圓具有44個氣體注入孔洞,位在距面板410的中心約0.7-0.8英吋的徑向距離之處;第七個同心圓具有49個氣體注入孔洞,位在距面板410的中心約0.9-1英吋的徑向距離之處;第八個同心圓具有56個氣體注入孔洞,位在距面板410的中心約1-1.1英吋的徑向距離之處;第九個同心圓具有62個氣體注入孔洞,位在距面板410的中心約1.1-1.2英吋的徑向距離之處;第十個同心圓具有70個氣體注入孔洞,位在距面板410的中心約1.25-1.35英吋的徑向距離之處;第十一個同心圓具有83個氣體注入孔洞,位在距面板410的中心約1.4-1.5英吋的徑向距離之處;第十二個同心圓具有86個氣體注入孔洞,位在距面板410的中心約1.5-1.6英吋的徑向距離之處;第十三個同心圓具有95個氣體注入孔洞,位在距面板410的中心約1.7-1.8英吋的徑向距離之處;第十四個同心圓具有97個氣體注入孔洞,位在距面板410的中心約1.8-1.9英吋的徑向距離之處;第十五個同心圓具有107個氣體注入孔洞,位在距面板410的中心約1.9-2英吋的徑向距離之處;第十六個同心圓具有118個氣體注入孔洞,位在距面板410的中心約2.05-2.15英吋的徑向距離之處;第十七個同心圓具有116個氣體注入孔洞,位在距面板410的中心約2.2-2.3英吋的徑向距離之處;第十八個同心圓具有127個氣體注入孔洞,位在距面板410的中心約2.3-2.4英吋的徑向距離之處;第十九個同心圓具有127個氣體注入孔洞,位在距面板410的中心約2.4-2.5英吋的徑向距離之處;第二十個同心圓具有139個氣體注入孔洞,位在距面板410的中心約2.55-2.65英吋的徑向距離之處;第二十一個同心圓具有159個氣體注入孔洞,位在距面板410的中心約2.7-2.8英吋的徑向距離之處;第二十二個同心圓具有162個氣體注入孔洞,位在距面板410的中心約2.8-2.9英吋的徑向距離之處;第二十三個同心圓具有165個氣體注入孔洞,位在距面板410的中心約3-3.1英吋的徑向距離之處;第二十四個同心圓具有171個氣體注入孔洞,位在距面板410的中心約3.1-3.2英吋的徑向距離之處;第二十五個同心圓具有170個氣體注入孔洞,位在距面板410的中心約3.2-3.3英吋的徑向距離之處;第二十六個同心圓具有178個氣體注入孔洞,位在距面板410的中心約3.35-3.45英吋的徑向距離之處;第二十七個同心圓具有186個氣體注入孔洞,位在距面板410的中心約3.5-3.6英吋的徑向距離之處;第二十八個同心圓具有185個氣體注入孔洞,位在距面板410的中心約3.6-3.7英吋的徑向距離之處;第二十九個同心圓具有195個氣體注入孔洞,位在距面板410的中心約3.75-3.85英吋的徑向距離之處;第三十個同心圓具有195個氣體注入孔洞,位在距面板410的中心約3.9-4英吋的徑向距離之處;第三十一個同心圓具有200個氣體注入孔洞,位在距面板410的中心約4-4.1英吋的徑向距離之處;第三十二個同心圓具有202個氣體注入孔洞,位在距面板410的中心約4.15-4.25英吋的徑向距離之處;第三十三個同心圓具有205個氣體注入孔洞,位在距面板410的中心約4.3-4.4英吋的徑向距離之處;第三十四個同心圓具有210個氣體注入孔洞,位在距面板410的中心約4.4-4.5英吋的徑向距離之處;第三十五個同心圓具有214個氣體注入孔洞,位在距面板410的中心約4.5-4.6英吋的徑向距離之處;第三十六個同心圓具有215個氣體注入孔洞,位在距面板410的中心約4.7-4.8英吋的徑向距離之處;第三十七個同心圓具有212個氣體注入孔洞,位在距面板410的中心約4.8-4.9英吋的徑向距離之處;第三十八個同心圓具有212個氣體注入孔洞,位在距面板410的中心約4.9-5英吋的徑向距離之處;第三十九個同心圓具有214個氣體注入孔洞,位在距面板410的中心約5.1-5.2英吋的徑向距離之處;第四十個同心圓具有212個氣體注入孔洞,位在距面板410的中心約5.2-5.3英吋的徑向距離之處;第四十一個同心圓具有210個氣體注入孔洞,位在距面板410的中心約5.3-5.4英吋的徑向距離之處;第四十二個同心圓具有198個氣體注入孔洞,位在距面板410的中心約5.45-5.55英吋的徑向距離之處;第四十三個同心圓具有160個氣體注入孔洞,位在距面板410的中心約5.6-5.7英吋的徑向距離之處;第四十四個同心圓具有160個氣體注入孔洞,位在距面板410的中心約5.7-5.8英吋的徑向距離之處;且第四十五個同心圓具有140個氣體注入孔洞,位在距面板410的中心約5.85-5.95英吋的徑向距離之處。較佳地,各個由氣體注入孔洞組成的同心圓中的氣體注入孔洞444等距離地間隔設置在面板410的中心附近(亦即,各個由氣體注入孔洞組成的同心圓中相鄰氣體注入孔洞之間的距離大約相等)。
回到圖1,半導體基板電漿處理設備300包括控制器162,其可與電子設備整合,用以控制本文中揭露之半導體基板電漿處理設備300的實施例的操作,並用以執行本文中揭露之方法的實施例。可將該電子設備稱為「控制器」,其可控制系統或子系統的各種元件或子部件。
廣泛而言,可將系統控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,控制器162可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,系統控制器係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(系統控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器162可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級(即處理模組)、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
如上所述,依據待由半導體基板電漿處理設備300執行之製程步驟(或複數製程步驟),控制器162可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。較佳地,一非暫態電腦機械可讀媒介包括用以控制半導體基板電漿處理設備300的程式指令。
在一實施例中,在溝槽填充製程(其在半導體基板電漿處理設備中於半導體基板上執行)期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,包含將半導體基板支承在該半導體基板電漿處理設備的真空腔室中的支座上,其中該半導體基板包括至少一溝槽位於其上表面中。使包括TEOS、氧化劑、及氬的製程氣體經由該半導體基板電漿處理設備的噴淋頭組件的面板而流進該真空腔室在半導體基板之上表面上方的處理區域中。使用至少一RF產生器來供應RF能量至該真空腔室的該處理區域,以將該製程氣體激發成電漿;其中在該半導體基板之上表面上沉積TEOS氧化物膜,以填充其至少一溝槽,其中供應足以增加電漿之電子密度的量的氬,藉以提高該TEOS氧化物膜朝向該半導體基板之中心的沉積速率,並減小該至少一溝槽中所沉積的TEOS氧化物膜之接縫效應。
較佳地,該製程氣體更包括氦,其中以足以增加電漿之電子密度的流率來將氦供應至該真空腔室,藉以提高該TEOS氧化物膜朝向該半導體基板之外周部的沉積速率,並減小該至少一溝槽中所沉積的TEOS氧化物膜之接縫效應。
在一實施例中,在處理期間,使用功率約1100到1700W的高頻RF產生器來供應RF能量至該真空腔室的該處理區域,並且使用功率約1550到2400W的低頻RF產生器來供應RF能量至該真空腔室的該處理區域,並將該真空腔室維持在約3-5 Torr或約4 Torr的壓力下,其中較佳的係將該TEOS氧化物膜沉積到約1000 埃到 30000埃的厚度。
本文中揭露之實施例已參考較佳實施例加以描述,然而,顯然地對於所屬技術領域中有通常知識者而言,能夠在不背離本發明之精神的情況下,以不同於前述的特定形式來實施本發明。該等較佳實施例為例示性,而無論如何不應被視為限制性。
50‧‧‧半導體基板
100‧‧‧TEOS氧化物層
102‧‧‧溝槽
103‧‧‧側壁
104‧‧‧接縫隅角
105‧‧‧「牙根」形狀
162‧‧‧控制器
200‧‧‧TEOS氧化物層
250‧‧‧半導體基板
300‧‧‧設備
301‧‧‧液體供應管路
302‧‧‧高頻RF產生器
304‧‧‧低頻RF產生器
306‧‧‧匹配網路
308‧‧‧加熱分歧管
310‧‧‧來源氣體管線
312‧‧‧進氣道
314‧‧‧噴淋頭組件
316‧‧‧半導體基板
318‧‧‧支座
320‧‧‧加熱器組件
322‧‧‧排氣道
324‧‧‧真空腔室
326‧‧‧真空泵浦
341‧‧‧液態前驅物輸送系統
402‧‧‧氣體進氣通道
404‧‧‧桿部
406‧‧‧背板
408‧‧‧空腔
410‧‧‧面板
412‧‧‧緩衝板
421‧‧‧下壁
422‧‧‧環狀壁
424‧‧‧電漿暴露表面
440‧‧‧柱狀物
441‧‧‧開口
444‧‧‧孔洞/開口
444a‧‧‧孔洞
圖1顯示可操作以執行如本文中揭露之TEOS氧化物膜沉積方法的半導體基板處理設備的示意圖。
圖2A顯示先前技術中在半導體基板的DRAM記憶胞(cell)特徵部上的TEOS氧化物沉積製程的結果。
圖2B顯示根據本文中揭露之實施例執行在半導體基板的DRAM記憶胞特徵部上的TEOS氧化物沉積製程的結果。
圖3A顯示接縫角度,其為先前技術中在半導體基板的DRAM記憶胞特徵部上的TEOS氧化物沉積製程的結果。
圖3A顯示接縫角度,其為根據本文中揭露之實施例執行在半導體基板的DRAM記憶胞特徵部上的TEOS氧化物沉積製程的結果。
圖4A與4B根據本文中揭露之實施例圖解半導體基板電漿處理設備的噴淋頭組件之一實施例。
圖5A根據本文中揭露之實施例圖解半導體基板電漿處理設備的噴淋頭組件之面板的一實施例,而圖5B顯示圖5A之細節J的放大圖。
410‧‧‧面板
421‧‧‧下壁
424‧‧‧電漿暴露表面
444‧‧‧孔洞/開口

Claims (20)

  1. 一種在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,該溝槽填充製程係在半導體基板電漿處理設備中於半導體基板上執行,該方法包括下列步驟: 將半導體基板支承在該半導體基板電漿處理設備的一真空腔室中的一支座上,其中該半導體基板包括至少一溝槽位於其上表面中; 使包括TEOS、氧化劑、及氬的製程氣體經由該半導體基板電漿處理設備的一噴淋頭組件的一面板而流進該真空腔室在半導體基板之上表面上方的處理區域中; 使用至少一RF產生器來供應RF能量至該真空腔室的該處理區域,以將該製程氣體激發成電漿;並且 在該半導體基板之上表面上沉積TEOS氧化物膜,以填充其至少一溝槽,其中供應足以增加電漿之電子密度的量的氬,藉以提高該TEOS氧化物膜朝向該半導體基板之中心的沉積速率,並減小該至少一溝槽中所沉積的TEOS氧化物膜之接縫效應。
  2. 如申請專利範圍第1項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中氬係以約2000到6000 sccm、約 3000到 5000 sccm、或約 4000 sccm的流率供應到該真空腔室。
  3. 如申請專利範圍第1項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中該製程氣體更包含氦,其中以足以增加電漿之電子密度的流率來將氦供應至該真空腔室,藉以提高該TEOS氧化物膜朝向該半導體基板之外周部的沉積速率,並減小該至少一溝槽中所沉積的TEOS氧化物膜之接縫效應。
  4. 如申請專利範圍第3項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中氦係以約3000到5000 sccm、約 3500到 4500 sccm、或約 4000 sccm的流率供應到該真空腔室。
  5. 如申請專利範圍第1項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中該氧化劑為O2 或臭氧,且該氧化劑係以約15000到23000 sccm、約 18000到 20000 sccm、或約 19000 sccm的流率供應到該真空腔室。
  6. 如申請專利範圍第1項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中使用至少一RF產生器來供應RF能量至該真空腔室的該處理區域之步驟,包含使用功率約1100到1700W的一高頻RF產生器來供應頻率約2到60MHz的RF能量至該真空腔室的該處理區域,並且使用功率約1550到2400W的一低頻RF產生器來供應頻率約50到800kHz的RF能量至該真空腔室的該處理區域。
  7. 如申請專利範圍第1項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中將該真空腔室維持在約3-5 Torr或約4 Torr的壓力下。
  8. 如申請專利範圍第1項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,更包含以約11-17 ml/min或約14 ml/min的流率使液態TEOS流進可操作以將該液態TEOS汽化的一加熱分歧管中,其中該加熱分歧管與該噴淋頭組件流體連通,使得經汽化的TEOS可經由該噴淋頭組件的該面板而流進該在半導體基板上方的該處理區域中。
  9. 如申請專利範圍第1項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中將該TEOS氧化物膜沉積到約1000 埃到 30000埃的厚度。
  10. 如申請專利範圍第3項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中液態TEOS在汽化之前的流率約14 ml/min,氦的流率約 4000 sccm,氬的流率約 3000 sccm,且 O2 的流率約19000 sccm。
  11. 如申請專利範圍第10項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中該高頻RF產生器的功率約1400 W,該低頻RF產生器的功率約1950 W,並且將該真空腔室中的壓力維持在約4 Torr。
  12. 如申請專利範圍第1項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中所產生的TEOS氧化物膜具有約0 到150 Mpa的拉伸膜應力。
  13. 如申請專利範圍第1項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法,其中所產生的TEOS氧化物膜具有介於150 到400 Mpa之間的壓縮膜應力。
  14. 一種半導體基板,其係根據如申請專利範圍第1項之在溝槽填充製程期間使所沉積的TEOS氧化物膜之接縫效應最小化的方法來處理。
  15. 一種半導體基板電漿處理設備的噴淋頭組件,其包含: 一面板,其包括下壁、以及從該下壁的外周部向上延伸的環狀外壁;以及 一背板,其中該面板的向上延伸的環狀外壁被焊接在該背板的外周部上,使得該面板的下壁與該背板之間形成一空腔; 其中該面板的下壁包括至少6000個延伸於其中的氣體注入孔洞,其中就空間上而言,該至少6000個氣體注入孔洞被設置在該面板的下壁中,俾使經由該至少6000個氣體注入孔洞輸送的製程氣體,在TEOS氧化物溝槽填充操作期間將被沉積在半導體基板的至少一溝槽中的TEOS氧化物膜的接縫效應最小化。
  16. 如申請專利範圍第15項之半導體基板電漿處理設備的噴淋頭組件,其中該面板的下壁包括一選擇性中心氣體注入孔洞以及圍繞該選擇性中心氣體注入孔洞的45個由氣體注入孔洞組成的同心圓,其中第一個同心圓具有9個氣體注入孔洞,位在距該面板的中心約0.1-0.2英吋的徑向距離之處;第二個同心圓具有16個氣體注入孔洞,位在距該面板的中心約0.2-0.3英吋的徑向距離之處;第三個同心圓具有21個氣體注入孔洞,位在距該面板的中心約0.4-0.5英吋的徑向距離之處;第四個同心圓具有27個氣體注入孔洞,位在距該面板的中心約0.5-0.6英吋的徑向距離之處;第五個同心圓具有34個氣體注入孔洞,位在距該面板的中心約0.6-0.7英吋的徑向距離之處;第六個同心圓具有44個氣體注入孔洞,位在距該面板的中心約0.7-0.8英吋的徑向距離之處;第七個同心圓具有49個氣體注入孔洞,位在距該面板的中心約0.9-1英吋的徑向距離之處;第八個同心圓具有56個氣體注入孔洞,位在距該面板的中心約1-1.1英吋的徑向距離之處;第九個同心圓具有62個氣體注入孔洞,位在距該面板的中心約1.1-1.2英吋的徑向距離之處;第十個同心圓具有70個氣體注入孔洞,位在距該面板的中心約1.25-1.35英吋的徑向距離之處;第十一個同心圓具有83個氣體注入孔洞,位在距該面板的中心約1.4-1.5英吋的徑向距離之處;第十二個同心圓具有86個氣體注入孔洞,位在距該面板的中心約1.5-1.6英吋的徑向距離之處;第十三個同心圓具有95個氣體注入孔洞,位在距該面板的中心約1.7-1.8英吋的徑向距離之處;第十四個同心圓具有97個氣體注入孔洞,位在距該面板的中心約1.8-1.9英吋的徑向距離之處;第十五個同心圓具有107個氣體注入孔洞,位在距該面板的中心約1.9-2英吋的徑向距離之處;第十六個同心圓具有118個氣體注入孔洞,位在距該面板的中心約2.05-2.15英吋的徑向距離之處;第十七個同心圓具有116個氣體注入孔洞,位在距該面板的中心約2.2-2.3英吋的徑向距離之處;第十八個同心圓具有127個氣體注入孔洞,位在距該面板的中心約2.3-2.4英吋的徑向距離之處;第十九個同心圓具有127個氣體注入孔洞,位在距該面板的中心約2.4-2.5英吋的徑向距離之處;第二十個同心圓具有139個氣體注入孔洞,位在距該面板的中心約2.55-2.65英吋的徑向距離之處;第二十一個同心圓具有159個氣體注入孔洞,位在距該面板的中心約2.7-2.8英吋的徑向距離之處;第二十二個同心圓具有162個氣體注入孔洞,位在距該面板的中心約2.8-2.9英吋的徑向距離之處;第二十三個同心圓具有165個氣體注入孔洞,位在距該面板的中心約3-3.1英吋的徑向距離之處;第二十四個同心圓具有171個氣體注入孔洞,位在距該面板的中心約3.1-3.2英吋的徑向距離之處;第二十五個同心圓具有170個氣體注入孔洞,位在距該面板的中心約3.2-3.3英吋的徑向距離之處;第二十六個同心圓具有178個氣體注入孔洞,位在距該面板的中心約3.35-3.45英吋的徑向距離之處;第二十七個同心圓具有186個氣體注入孔洞,位在距該面板的中心約3.5-3.6英吋的徑向距離之處;第二十八個同心圓具有185個氣體注入孔洞,位在距該面板的中心約3.6-3.7英吋的徑向距離之處;第二十九個同心圓具有195個氣體注入孔洞,位在距該面板的中心約3.75-3.85英吋的徑向距離之處;第三十個同心圓具有195個氣體注入孔洞,位在距該面板的中心約3.9-4英吋的徑向距離之處;第三十一個同心圓具有200個氣體注入孔洞,位在距該面板的中心約4-4.1英吋的徑向距離之處;第三十二個同心圓具有202個氣體注入孔洞,位在距該面板的中心約4.15-4.25英吋的徑向距離之處;第三十三個同心圓具有205個氣體注入孔洞,位在距該面板的中心約4.3-4.4英吋的徑向距離之處;第三十四個同心圓具有210個氣體注入孔洞,位在距該面板的中心約4.4-4.5英吋的徑向距離之處;第三十五個同心圓具有214個氣體注入孔洞,位在距該面板的中心約4.5-4.6英吋的徑向距離之處;第三十六個同心圓具有215個氣體注入孔洞,位在距該面板的中心約4.7-4.8英吋的徑向距離之處;第三十七個同心圓具有212個氣體注入孔洞,位在距該面板的中心約4.8-4.9英吋的徑向距離之處;第三十八個同心圓具有212個氣體注入孔洞,位在距該面板的中心約4.9-5英吋的徑向距離之處;第三十九個同心圓具有214個氣體注入孔洞,位在距該面板的中心約5.1-5.2英吋的徑向距離之處;第四十個同心圓具有212個氣體注入孔洞,位在距該面板的中心約5.2-5.3英吋的徑向距離之處;第四十一個同心圓具有210個氣體注入孔洞,位在距該面板的中心約5.3-5.4英吋的徑向距離之處;第四十二個同心圓具有198個氣體注入孔洞,位在距該面板的中心約5.45-5.55英吋的徑向距離之處;第四十三個同心圓具有160個氣體注入孔洞,位在距該面板的中心約5.6-5.7英吋的徑向距離之處;第四十四個同心圓具有160個氣體注入孔洞,位在距該面板的中心約5.7-5.8英吋的徑向距離之處;且第四十五個同心圓具有140個氣體注入孔洞,位在距該面板的中心約5.85-5.95英吋的徑向距離之處。
  17. 如申請專利範圍第15項之半導體基板電漿處理設備的噴淋頭組件,其中: (a)          該面板包括該選擇性中心氣體注入孔洞,且該中心氣體注入孔洞的直徑大於、等於、或小於其他氣體注入孔洞的直徑;及/或 (b)         在由氣體注入孔洞組成的同心圓中的各個氣體注入孔洞具有相同的直徑,或者在由氣體注入孔洞組成的一同心圓中的氣體注入孔洞具有比由氣體注入孔洞組成的相鄰同心圓中者的直徑更大或更小的直徑。
  18. 如申請專利範圍第15項之半導體基板電漿處理設備的噴淋頭組件,其中: (a)        該面板包括在該空腔中從其下壁向上延伸的複數柱狀物,其中該柱狀物的上端被焊接在該背板的相對應開口(該柱狀物的上端位於其中)中; (b)       一桿部從該噴淋頭組件的背板向上延伸;以及 (c)        一緩衝板設置在該噴淋頭組件的空腔中,其中該緩衝板可操作以將被供應到該噴淋頭組件的製程氣體均勻地散布在整個空腔中。
  19. 一種半導體基板電漿處理設備,其包含如申請專利範圍第15項之噴淋頭組件,其中該半導體基板電漿處理設備為電漿增強化學氣相沉積設備或電漿增強原子層沉積設備。
  20. 如申請專利範圍第19項之半導體基板電漿處理設備,更包含一控制器以及一機器可讀媒介,其包含用以控制該半導體基板電漿處理設備的程式指令。
TW105106606A 2015-03-06 2016-03-04 在四乙氧基矽烷氧化物膜沉積期間使接縫效應最小化的方法及設備 TWI714557B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/640,207 US9570289B2 (en) 2015-03-06 2015-03-06 Method and apparatus to minimize seam effect during TEOS oxide film deposition
US14/640,207 2015-03-06

Publications (2)

Publication Number Publication Date
TW201704516A true TW201704516A (zh) 2017-02-01
TWI714557B TWI714557B (zh) 2021-01-01

Family

ID=56850839

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105106606A TWI714557B (zh) 2015-03-06 2016-03-04 在四乙氧基矽烷氧化物膜沉積期間使接縫效應最小化的方法及設備

Country Status (4)

Country Link
US (1) US9570289B2 (zh)
KR (1) KR20160108176A (zh)
CN (2) CN113903654A (zh)
TW (1) TWI714557B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817102B (zh) * 2020-04-09 2023-10-01 美商應用材料股份有限公司 具有局部化的流動控制的面板

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
KR102344256B1 (ko) * 2019-12-05 2021-12-27 세메스 주식회사 기판 처리 장치

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
JP3208376B2 (ja) * 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2005059974A1 (en) 2003-12-15 2005-06-30 Applied Materials, Inc. Edge flow faceplate for improvement of cvd film properties
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
WO2006029651A1 (en) 2004-09-16 2006-03-23 S.O.I.Tec Silicon On Insulator Technologies Method of manufacturing a silicon dioxide layer
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
CN100451163C (zh) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5387586B2 (ja) 2008-05-13 2014-01-15 東京エレクトロン株式会社 シリコン酸化膜の成膜方法、ライナー膜の形成方法、および半導体装置の製造方法
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
KR20120103719A (ko) 2009-12-22 2012-09-19 어플라이드 머티어리얼스, 인코포레이티드 연속 플라즈마에 의한 pecvd 다단계 공정
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
KR20130087929A (ko) 2012-01-30 2013-08-07 에스케이하이닉스 주식회사 트랜치 소자분리층을 갖는 반도체소자 및 그 제조방법
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20140162384A1 (en) 2012-12-06 2014-06-12 Intermolecular Inc. PVD-ALD-CVD hybrid HPC for work function material screening
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817102B (zh) * 2020-04-09 2023-10-01 美商應用材料股份有限公司 具有局部化的流動控制的面板
US12020907B2 (en) 2020-04-09 2024-06-25 Applied Materials, Inc. Faceplate with localized flow control

Also Published As

Publication number Publication date
KR20160108176A (ko) 2016-09-19
US20160260603A1 (en) 2016-09-08
CN105938792A (zh) 2016-09-14
CN105938792B (zh) 2021-09-07
TWI714557B (zh) 2021-01-01
CN113903654A (zh) 2022-01-07
US9570289B2 (en) 2017-02-14

Similar Documents

Publication Publication Date Title
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
US10903071B2 (en) Selective deposition of silicon oxide
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
US10777407B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
TWI714557B (zh) 在四乙氧基矽烷氧化物膜沉積期間使接縫效應最小化的方法及設備
JP6578163B2 (ja) 裏面成膜を低減し、基板端部の厚さ変化を緩和するシステムおよび方法
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
JP2022510862A (ja) インターバル調整パージによるスループットの向上
US20240023204A1 (en) Coated conductor for heater embedded in ceramic
TWI794318B (zh) 增加反應器處理批量大小的方法和設備