KR102563427B1 - 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들 - Google Patents

2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들 Download PDF

Info

Publication number
KR102563427B1
KR102563427B1 KR1020220128950A KR20220128950A KR102563427B1 KR 102563427 B1 KR102563427 B1 KR 102563427B1 KR 1020220128950 A KR1020220128950 A KR 1020220128950A KR 20220128950 A KR20220128950 A KR 20220128950A KR 102563427 B1 KR102563427 B1 KR 102563427B1
Authority
KR
South Korea
Prior art keywords
processing chamber
purge gas
film
substrate
flow
Prior art date
Application number
KR1020220128950A
Other languages
English (en)
Other versions
KR20220141773A (ko
Inventor
애드리언 라보이
강후
프루쇼탐 쿠마
샹카 스와미나단
준 첸
프랭크 엘. 파스콸레
끌로에 발다세로니
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220141773A publication Critical patent/KR20220141773A/ko
Application granted granted Critical
Publication of KR102563427B1 publication Critical patent/KR102563427B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

2차 퍼지의 사용을 채용하는 반도체 기판들 상에 재료의 막들을 증착하는 방법들이 개시된다. 방법들은 프로세싱 챔버 내로 막 전구체를 유동시키는 단계 및 전구체가 기판 상에 흡착 제한된 층을 형성하도록 막 전구체를 프로세싱 챔버 내의 기판 상에 흡착시키는 단계를 포함할 수도 있다. 방법들은 1차 퍼지 가스를 사용하여 프로세싱 챔버를 퍼지함으로써 흡착된 전구체를 둘러싸는 볼륨으로부터 적어도 일부의 흡착되지 않은 막 전구체를 제거하는 단계, 및 그 후에 2차 퍼지 가스가 프로세싱 챔버 내로 유동되는 동안, 흡착된 막 전구체와 반응하여 기판 상에 막층의 형성을 발생시키는 단계를 더 포함할 수도 있다. 2차 퍼지 가스는 O2의 이온화 에너지 및/또는 분리 에너지보다 크거나 같은 이온화 에너지 및/또는 분리 에너지를 갖는 화학 종을 포함할 수도 있다. 또한 전술한 프로세스들을 구현하는 장치들이 개시된다.

Description

2차 퍼지 가능한 ALD 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들{METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM}
반도체 산업에서 디바이스 및 피처들의 사이즈가 계속해서 축소되고, 또한 3D 디바이스들 구조들 (예를 들어, Intel의 Tri-Gate 트랜지스터 아키텍처) 이 집적 회로 (IC) 설계에서 보다 일반적이 되기 때문에, 얇은 컨포멀 막들 (conformal films) (비평면 (non-planar) 이더라도, 아래에 놓인 구조체의 형상에 대해 균일한 두께를 갖는 재료의 막들) 을 증착하는 능력이 계속해서 중요해질 것이다. ALD (Atomic layer deposition) 는, ALD의 단일의 사이클은 단지 재료의 단일 박층을 증착하고, 막 전구체 자체의 막-형성 화학 반응에 앞서 기판 표면 상에 흡착할 수도 있는 (즉, 흡착 제한된 층을 형성하는) 하나 이상의 막 전구체 반응물질들의 양으로 두께가 제한된다는 사실로 인해, 컨포멀 막들을 증착하는데 적합한 막 형성 기법이다. 이어서 복수의 "ALD 사이클들"이 원하는 두께의 막을 구축하기 위해 사용될 수도 있고, 층 각각은 얇고 컨포멀하기 때문에, 발생된 막은 실질적으로 아래에 놓인 디바이스 구조체의 형상을 따른다.
그러나, ALD 프로세스들과 연관된 많은 과제들이 있다. 종종 이들 과제들은, ALD의 단일 사이클만이 얇은 흡착 제한된 층을 증착하고, 따라서 뚜렷한 두께의 막을 구축하기 위해 많은 ALD 사이클들이 요구된다는 사실과 관련된다. 사이클 각각은 시간이 걸리고, 증착 프로세스를 달성하기 위해 사용된 장치의 반복된 순차적인 동작을 요구한다. 따라서, 웨이퍼 프로세싱 속도를 개선하고, 또한 ALD 동작들을 수행하는데 사용된 기판 프로세싱 하드웨어의 수명 및 유지보수 요건들을 개선하는, 개선된 방법들 및 장치들이 탐색되었다.
2차 퍼지의 사용을 채용하는 반도체 기판들 상에 재료의 막들을 증착하는 방법들이 개시된다. 방법들은 프로세싱 챔버 내로 막 전구체를 유동시키는 단계 및 막 전구체가 기판 상에 흡착 제한된 층을 형성하도록, 막 전구체를 프로세싱 챔버 내의 기판 상에 흡착시키는 단계를 포함할 수도 있다. 방법들은 1차 퍼지 가스를 사용하여 프로세싱 챔버를 퍼지함으로써 흡착된 막 전구체를 둘러싸는 볼륨으로부터 적어도 일부의 흡착되지 않은 막 전구체를 제거하는 단계 및 그 후에 기판 상에 막 층을 형성하기 위해, 2차 퍼지 가스가 프로세싱 챔버 내로 유동되는 동안, 흡착된 막 전구체를 반응시키는 단계를 더 포함할 수도 있다. 2차 퍼지 가스는 O2의 이온화 에너지 및/또는 분리 에너지보다 크거나 같은 이온화 에너지 및/또는 분리 에너지를 갖는 화학 종을 포함할 수도 있다.
또한 반도체 기판들 상에 재료의 막들을 증착하기 위한 장치들이 개시된다. 장치들은 프로세싱 챔버, 프로세싱 챔버 내의 기판 홀더, 프로세싱 챔버 내로 막 전구체 및 1차 퍼지 가스를 유동시키기 위한 샤워헤드, 프로세싱 챔버 내로 2차 퍼지 가스를 유동시키기 위한 샤워헤드 칼라, 샤워헤드를 통한 막 전구체의 유동 및 1차 퍼지 가스의 유동을 제어하기 위한 하나 이상의 1차 유동 밸브들, 샤워헤드 칼라를 통한 2차 퍼지 가스의 유동을 제어하기 위한 하나 이상의 2차 유동 밸브들, 프로세싱 챔버로부터 1차 퍼지 가스 및 2차 퍼지 가스를 제거하고, 프로세싱 챔버 내에서 기판을 둘러싸는 볼륨으로부터 막 전구체를 제거하기 위한 밸브로 동작되는 진공 소스, 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 플라즈마 생성기, 및 반도체 기판 상에 재료의 막을 증착하도록 하나 이상의 밸브들, 진공 소스, 및 플라즈마 생성기를 동작시키기 위한 머신 판독가능 인스트럭션들을 포함하는 하나 이상의 제어기를 포함한다. 제어기의 인스트럭션들은, 프로세싱 챔버 내로 막 전구체를 유동시키도록 1차 유동 밸브(들)를 동작시키기 위한 인스트럭션들, 흡착 제한된 층을 형성하는 막 전구체가 프로세싱 챔버 내의 기판 상에 흡착하도록 프로세싱 챔버 내의 조건들을 제어하기 위한 인스트럭션들, 프로세싱 챔버 내로 1차 퍼지 가스를 유동시키기 위해 1차 유동 밸브(들)를 동작시키고 밸브로 동작되는 진공 소스를 배기하여 흡착된 전구체를 둘러싸는 볼륨으로부터 적어도 일부의 흡착되지 않은 막 전구체를 제거하기 위해 밸브로 동작되는 진공 소스를 동작시키기 위한 인스트럭션들, 프로세싱 챔버 내에 플라즈마를 형성하기 위해 플라즈마 생성기를 동작시키기 위한 인스트럭션으로서, 플라즈마는 기판 상에 막 층을 형성하기 위해 흡착된 막 전구체의 반응을 활성화시키는, 플라즈마 생성기를 동작시키기 위한 인스트럭션들, 및 플라즈마에 의해 흡착된 막 전구체의 반응이 활성화되는 동안 프로세싱 챔버 내로의 O2를 포함하는 2차 퍼지 가스를 유동시키기 위해 2차 유동 밸브(들)를 동작시키기 위한 인스트럭션을 포함한다.
도 1은 단일 프로세스 스테이션을 갖는 프로세싱 챔버를 갖는 기판 프로세싱 장치의 단면 개략도이다.
도 2는 2 개의 프로세스 스테이션들로부터 기판들을 로딩 및 언로딩하기 위한 기판 핸들러 로봇 및 장치를 동작시키기 위한 제어기를 갖는 4 스테이션 기판 프로세싱 장치의 개략도이다.
도 3은 샤워헤드 및 샤워헤드 칼라를 갖고, 1차 퍼지 가스 유동 경로 및 2차 퍼지 가스 유동 경로를 특징으로 하는, 단일 스테이션 기판 프로세싱 장치의 프로세싱 챔버의 단면 개략도이다.
도 4는 프로세싱 챔버 내에서 샤워헤드 뒤에 형성된 기생 플라즈마의 존재 및 강도를 예시하기 위해 사용된 증착 레이트 대 RF 전력의 플롯이다.
도 5는 ALD 프로세스를 통해 기판 상에 재료의 막을 형성하기 위한 동작들의 예시적인 시퀀스의 플로우 차트이다.
도 6은 또한 1차 퍼지 유동 경로 및 2차 퍼지 유동 경로를 예시하는 기판 프로세싱 챔버 내의 샤워헤드 및 샤워헤드 칼라의 보다 상세한 단면도이다.
도 7은 샤워헤드 칼라의 예의 사시도이다.
도 8은 도 7의 샤워헤드 칼라를 위한 예시적인 유체 커넥터의 사시도이다.
도 9a 및 도 9b는 도 6의 샤워헤드의 예시적인 플레이트들의 상단 평면도 및 하단 평면도이다.
이하의 기술에서, 다수의 구체적인 상세들이 본 발명의 전체적인 이해를 제공하기 위해 언급되었다. 그러나, 본 발명은 이들 구체적인 상세들 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 본 발명이 구체적인 상세한 실시예들과 함께 기술되지만, 이들 구체적인 상세한 실시예들은 본 명세서에 개시된 창의적인 개념들의 범위를 제한하도록 의도되지 않는다는 것이 이해될 것이다.
ALD (atomic layer deposition) 를 통해 컨포멀한 막들을 증착하기 위해 사용되는, 반도체 기판 프로세싱 챔버들에서 기생 플라즈마 생성을 억제하기 위한 방법들 및 장치들이 본 명세서에 개시된다.
ALD는 사이클 각각이 재료의 박층만 (종종 단 하나의 분자층 두께) 을 증착하는, 복수의 "ALD 사이클들"을 수행함으로써 원하는 두께를 갖는 재료의 막들을 증착하기 위해 사용된다. 이하에 상세히 기술되는 바와 같이, 프로세싱 챔버 내의 기판 상에 재료의 단층을 증착하기 위한 기본적인 ALD 사이클은: (i) 막 전구체가 흡착 제한된 층을 형성하도록 막 전구체를 기판 상에 흡착시키는 단계, (ii) 흡착된 막 전구체를 둘러싸는 볼륨으로부터 (적어도 일부의) 흡착되지 않은 막 전구체를 제거하는 단계, 및 (iii) 흡착되지 않은 막 전구체를 제거한 후, 기판 상에 막 층을 형성하도록 흡착된 막 전구체를 반응시키는 단계를 포함할 수도 있다. 종종, ALD 사이클은 (iv) 기판 상에 형성된 막 층을 둘러싸는 볼륨으로부터 탈착된 막 전구체 및/또는 반응 부산물을 제거하는 동작을 부가적으로 수반한다.
동작들 (ii) 및 (iv) 에서의 제거는 기판을 둘러싸는 볼륨을 기준 압력으로 펌핑 다운함으로써 (펌프-투-베이스) 퍼지, 배기를 통해 이루어질 수도 있다. 일부 실시예들에서, 이들 퍼지는 본 명세서에서 "1차 퍼지" 또는 "버스트 퍼지" 및 "2차 퍼지"로 지칭되는 바와 같이 논리적으로 분할될 수도 있다. 1차 퍼지는 "1차 퍼지 가스 소스"로부터 발생되고 하나 이상의 1차 퍼지 가스 유입부들을 통해 1차 퍼지 가스 유동 경로들을 통해 프로세싱 챔버 내로 도입되는, "1차 퍼지 가스"라고 본 명세서에서 지칭되는 퍼지 가스의 사용을 수반한다. 유사하게, 2차 퍼지는 "2차 퍼지 가스 소스"로부터 발생되고 하나 이상의 2차 퍼지 가스 유입부들을 통해 2차 퍼지 가스 유동 경로들을 통해 프로세싱 챔버 내로 도입되는, "2차 퍼지 가스"라고 본 명세서에서 지칭되는 퍼지 가스의 사용을 수반한다.
1차 퍼지는 일반적으로 동작 (ii) 동안 발생되고, 실시예들에서 동작 (iv) 동안 1차 퍼지 동안 뿐만 아니라 또 다른 퍼지가 있다. 그러나, 1차 퍼지는 일반적으로 동작들 (i) 및 (iii) 동안 발생하지 않을 수도 있고, 실질적으로 모든 1차 퍼지 가스는 동작 (iii) 에서의 반응 전에 프로세싱 챔버로부터 제거될 수도 있다. 따라서, 1차 퍼지 가스의 유동은 간헐적이기 때문에, 1차 퍼지는 또한 본 명세서에서 ("버스트 퍼지 가스"를 채용하는) "버스트 퍼지"하고 할 수도 있다. 어구들 1차 퍼지 및 버스트 퍼지는 본 명세서에서 동의어로 사용된다.
본 명세서에서 "2차 퍼지"라고 지칭되는 것은 "1차 퍼지"와 구별되는 것으로 보여진다. 1차 퍼지와 반대로, 2차 퍼지 가스는, 기판 표면 상에서 발생하는 반응 프로세스를 실질적으로 방해하거나 간섭하지 않도록 하는 방식으로 동작 (iii) 에서 반응이 발생하는 동안 프로세싱 챔버 내로 유동될 수도 있다. 일부 실시예들에서, 2차 퍼지 가스는 또한 동작들 (i), (ii) 및/또는 (iv) 동안 프로세싱 챔버로 유동될 수도 있고, 특정한 이러한 실시예들에서, 동작들 (i) 내지 (iv) 에 걸쳐 프로세싱 챔버로 연속적으로 유동될 수도 있다.
실시예에 따라, 프로세싱 챔버 내로의 2차 퍼지 가스의 플로우 레이트는 프로세싱 챔버 내로의 1차 퍼지 가스의 플로우 레이트와 상이할 수도 있다. 일부 실시예들에서, 1차 퍼지 가스는 약 1,000 내지 100,000 sccm, 또는 보다 바람지하게 약 5,000 내지 45,000 sccm, 또는 심지어 약 10,000 내지 30,000 sccm의 레이트로 프로세싱 챔버 내로 유동될 수도 있다. 일부 실시예들에서, 2차 퍼지 가스는 약 1 내지 50,000 sccm, 또는 보다 바람직하게 약 1 내지 30,000 sccm, 또는 심지어 약 1,000 내지 20,000 의 레이트로 프로세싱 챔버 내로 유동될 수도 있다.
ALD 프로세스들에서의 2차 퍼지의 사용은 (iii) 동안 활성인 2차 퍼지 및 또한 기판에 직접적으로 (1차 퍼지와 같이) 지향되기 보다 프로세싱 챔버의 먼 영역들로 지향되는 2차 퍼지 가스에 관련된 몇몇 유익한 효과들을 가질 수도 있다. 챔버의 먼 영역들 -즉, 기판 표면에 바로 인접하지 않은 영역들- 로의 2차 퍼지 가스의 유동은 프로세싱 챔버로부터 과도한 흡착되지 않은 막 전구체를 제거하는 것을 돕고, 또한 막 전구체가 제 1 예의 챔버의 먼 영역들로 유동되는 것을 방지하도록 도울 수도 있다. 후자를 실현하기 위해, 나중에 2차 퍼지는 또한 막 전구체가 챔버로 유동되는, 동작 (i) 동안 활성일 수도 있다. 동작 (iii) 동안 2차 퍼지의 채용은, 예를 들어, 반응 프로세스가 발생되는 동안 전구체가 기판 표면으로부터 탈착되고, 이어서 재흡착되고 챔버 측벽들 상과 같은, 어느 곳에서의 반응하는 결과로서 발생할 수도 있는 임의의 스퓨리어스 (spurious) 증착으로부터 챔버의 내부 표면들을 보호한다. 2차 퍼지를 채용하도록 구비된 기판 프로세싱 장치들의 상세한 에들을 기술하기 전에, 막 증착 장치들의 일반적인 개요가 이제 제공된다.
막 증착 장치들의 개요
반도체 기판들 상에 막들을 증착하기 위한 동작들은 일반적으로 도 1에 도시된 것과 같은 기판 프로세싱 장치 내에서 수행될 수도 있다. 이하에 보다 상세히 기술될, 도 1의 장치 (100) 는 진공 펌프 (118) 에 의해 진공 하에서 유지될 수도 있는 내부 볼륨 내에 단일 기판 홀더 (108) 를 갖는 단일 프로세싱 챔버 (102) 를 갖는다. 또한 (예를 들어) 막 전구체들, 캐리어 가스 및/또는 퍼지 가스 및/또는 프로세스 가스, 2차 반응물질들, 등의 전달을 위해 가스 전달 시스템 (101) 및 샤워헤드 (106) 가 챔버에 유체적으로 커플링된다. 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 장비가 또한 도 1에 도시되고 이하에 보다 상세히 기술될 것이다. 어떤 경우에서든, 이하에 보다 상세히 기술되는 바와 같이, 도 1에 개략적으로 예시된 장치는 반도체 기판들 상에서 ALD와 같은 막 증착 동작들을 수행하기 위한 기본적인 장비를 제공한다.
일부 환경들에서 도 1의 기판 프로세싱 장치와 유사한 기판 프로세싱 장치가 충분할 수도 있지만, 시간 소모적인 막 증착 동작들이 수반될 때, 복수의 반도체 기판들 상에 복수의 증착 동작을 병렬로 동시에 수행함으로써 기판 프로세싱 처리량을 증가시키는 것이 유리할 수도 있다. 이를 위해, 도 2에 개략적으로 예시된 멀티-스테이션 기판 프로세싱 장치가 채용될 수도 있다. 도 2의 기판 프로세싱 장치 (200) 는 여전히 단일 기판 프로세싱 챔버 (214) 를 채용하지만, 프로세싱 챔버의 벽들에 의해 구성된 단일 내부 볼륨 내에, 프로세스 스테이션 각각에서 웨이퍼 홀더에 홀딩된 기판 상에서 프로세싱 동작들을 수행하기 위해 사용될 수도 있는, 복수의 기판 프로세스 스테이션들이 있다. 이러한 특정한 실시예에서, 멀티-스테이션 기판 프로세싱 장치 (200) 는 4 개의 프로세스 스테이션들 (201, 202, 203, 및 204) 을 갖고 도시된다. 장치는 또한 프로세스 스테이션들 (201 및 202) 에서 기판들을 로딩하기 위한 기판 로딩 디바이스, 이 경우에서 기판 핸들러 로봇 (226), 및 다양한 프로세스 스테이션들 (201, 202, 203, 및 204) 사이에서 기판들을 이송하기 위한 기판 이송 디바이스, 이 경우에서 기판 캐로절 (290) 을 채용한다. 다른 유사한 멀티-스테이션 프로세싱 장치들은 실시예 및 예를 들어, 병렬 웨이퍼 프로세싱의 목표된 레벨, 사이즈/공간 제약들, 비용 제약들, 등에 따라 보다 많거나 보다 적은 프로세싱 장치들을 가질 수도 있다. 또한, 이하에 보다 상세히 기술될, ALD (atomic layer deposition) 동작들에 1차 퍼지 가스 및 2차 퍼지 가스를 수반하는 효율적인 기판 증착 동작들을 수행하는 목표를 보조하는, 제어기 (250) 가 도 2에 도시된다.
장비 가격 및 동작 비용들 양자에 대하여 도 2에 도시된 멀티-스테이션 프로세싱 장치와 유사한 멀티-스테이션 프로세싱 장치의 사용을 통해 다양한 효율성들이 달성될 수도 있다는 것을 주의한다. 예를 들어, 단일 진공 펌프 (도 2에는 도시되지 않지만, 예를 들어, 도 1의 118) 가 4 개의 모든 스테이션들에 대해 단일 고진공 분위기를 생성하도록 사용될 수도 있고, 4 개의 모든 스테이션들에 대해 소비된 프로세스 가스들을 배기하도록 사용될 수도 있다. 실시예에 따라, 프로세스 스테이션 각각은 가스 전달을 위해 고유한 전용 샤워헤드 (예를 들어, 도 1의 106 참조) 를 가질 수도 있지만, 동일한 가스 전달 시스템 (예를 들어, 도 1의 101) 을 공유할 수도 있다. 유사하게, 플라즈마 생성기 장비의 특정한 엘리먼트들 (예를 들어, 전력 공급부) 은 프로세스 스테이션들 사이에서 공유될 수도 있지만, 실시예에 따라, 특정한 양태들은 프로세스 스테이션-특정될 수도 있다 (예를 들어, 샤워헤드가 플라즈마 생성 전위를 인가하기 위해 사용된다면-이하의 도 1의 논의를 참조). 그러나, 다시, 이러한 효율성들은 또한 반응 챔버 당 2, 3, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 또는 16, 또는 이상의 프로세스 스테이션들과 같이, 프로세싱 챔버 당 보다 많거나 보다 적은 수의 프로세스 스테이션들을 사용함으로써 보다 크거나 보다 적은 정도로 달성될 수도 있다는 것이 이해된다.
2차 퍼지의 구현예 응용예
샤워헤드 설계를 채용하는 기판 프로세싱 장치들은 특히, 2차 퍼지의 사용으로부터 유익할 수도 있다. 이러한 설계들에서, 샤워헤드의 주 목적은 동작 (i) 에서 기판 표면 흡착을 위해 막 전구체가 프로세싱 챔버 내로 도입되는 메커니즘을 제공하는 것이다. 샤워헤드 설계는 유동의 포인트 소스로서 기능하는 몇 개의 노즐들만으로 달성될 수 있는 것보다 기판 표면에 대하여 보다 공간적으로 균일한 막 전구체 유동의 분포를 가능하게 한다. 샤워헤드는 또한 적합한 전위의 인가시, 표면 반응의 활성화를 발생시키는, 동작 (iii) 에서의 플라즈마 생성을 위해 사용된 2 개의 전극들 중 하나로서 기능할 수도 있다. 이들 목적들에 부가하여, 샤워헤드는 또한 동작 (ii) 및/또는 (iv) 동안 1차 퍼지 가스의 유동을 프로세싱 챔버로 도입하기 위해 사용될 수도 있고, 이렇게 함으로써, 1차 퍼지 가스에 대한 보다 양호한 공간적 균일성도 달성할 수도 있다. 그러나, 프로세싱 챔버 내로의 퍼지 가스를 도입하는 이 방법의 문제는 상기 유동이 통상적으로 샤워헤드 뒤의 캐비티를 효과적으로 퍼지하지 못한다는 것이다. 이 때문에, 샤워헤드 뒤/위의 공간/캐비티 내로 직접적인 2차 퍼지 가스 유동은 샤워헤드 후면 및 샤워헤드 뒤/위의 챔버 벽들 상, 등에서 원치 않는 증착을 최소화하거나 방지할 수도 있다.
일 실시예가 도 3의 개략적으로 예시된다. 도 3은 프로세싱 챔버 (102), 샤워헤드 (106) 및 샤워헤드 칼라 (330) 를 갖고 각각 1차 퍼지 가스 유동 경로 및 2차 퍼지 가스 유동 경로 (310 및 320) 를 특징으로 하는 단일 스테이션 기판 프로세싱 장치 (300) 의 단면 개략도를 제공한다. 도 3에 도시된 구성에서, 1차 퍼지 가스 소스 (312) 로부터의 1차 퍼지 가스가 샤워헤드 (106) 를 통해 프로세싱 챔버 (102) 내로 유동되는 한편, 2차 퍼지 가스 소스 (322) 로부터의 2차 퍼지 가스가 샤워헤드 칼라 (330) 를 통해 프로세싱 챔버 (102) 내로 유동된다. 따라서, 본 명세서에서 2차 퍼지 가스는 샤워헤드 (106) 의 후면의 중심축에 가까운 프로세싱 챔버 (102) 내로 도입되고 페데스탈 (108) 상에 홀딩된 기판 (112) 의 평면에 실질적으로 평행한 유동로 도입된다. 이렇게 도입된 2차 퍼지 가스는 또한 나중에 (도면에서 화살표로 개략적으로 예시된 바와 같이) 교차-플레이트 (109) 주변에서 챔버를 나가기 전에 샤워헤드 둘레 및 챔버 측벽들 아래로 유동될 수도 있다. 이러한 방식으로 2차 퍼지 가스는 프로세싱 챔버 (102) 의 내부 벽들 상에서의 증착을 최소화하고/하거나 방지할 수도 있다. 일부 예들에서, 샤워헤드 뒤 캐비티에서 퍼지 가스의 유동은, 원치 않는 증착을 최소화하면서 결과로서 유효한 챔버 볼륨을 감소시키는, 상기 캐비티 내에서 전구체의 역확산 (또는 유동) 가 방지되도록, 페클레 조건 (Peclet condition) (통상적으로 1보다 큰 페클레수) 을 만족한다.
도 3에 따라 일반적으로 구현된 2차 퍼지 가스 사용의 추가적인 이점은, 매우 비쌀 수도 있는, 막 전구체 대신 불활성 가스를 사용하는 챔버 압력의 프로세싱 챔버 구축 능력이다. 보다 높은 챔버 압력은 막 전구체에 대한 에어 커튼으로서 작용할 수도 있고 따라서 다른 곳에서 전구체 분압을 감소시키는 동안 기판 구역의 전구체의 분압을 증가시킨다. 보다 높은 챔버 압력은 또한 내측 챔버 표면들/벽들 상의 스퓨리어스 증착을 감소시키고 또한 보다 높은 압력 체제로 인한 기생 플라즈마 생성 (이하에 보다 상세히 논의됨) 기회 (또는 강도) 를 감소시킨다.
1차 퍼지 가스 유동 및 2차 퍼지 가스 유동을 생성하기 위해 채용가능한 적합한 샤워헤드들 및 샤워헤드 칼라들은 각각 도 6 내지 도 9에 대하여 이하에 보다 상세히 기술된 도 3에 개략적으로 예시된 것과 유사하다. 이러한 2차 퍼지 가스의 사용, 샤워헤드의 후면에서의 2차 퍼지 가스의 도입, 및 연관된 장치들의 상세들은 전체 내용 및 모든 목적을 위해 참조로서 본 명세서에 인용된, 2012년 10월 24일 출원된, 명칭이 "SUPPRESSION OF PARASITIC DEPOSITION IN A SUBSTRATE PROCESSING SYSTEM BY SUPPRESSING PRECURSOR FLOW AND PLASMA OUTSIDE OF SUBSTRATE REGION"이고, 미국 특허 공개 번호 제 2013/0344245 호로 공개된, 미국 특허 출원 번호 제 13/659,231 호에 또한 기술된다.
2차 퍼지를 채용하는 방법들 및 장치들에서 기생 플라즈마 억제
2차 퍼지는 통상적으로 ALD 프로세스의 막 형성 반응 동작 (상기에서 동작 (iii)) 동안 진행되기 때문에, 불활성 가스가 통상적으로 2차 퍼지 가스로서 선택되어 막 형성 반응을 간섭하지 않을 것이다. 이전의 동작에서, N2가 2차 퍼지 가스로서 종종 선택되었다. 그러나, 더블 패터닝과 같은 특정한 응용들에서, 증착된 막의 질소 함량은 정밀하게 제어되어야 하고, 2차 퍼지 가스로서 N2의 사용은 종종 질소가 증착된 막에 포함되게 하기 때문에, N2는 종종 나쁜 선택이다.
이는, 4 개의 상이한 1차/버스트 퍼지 ("BP") 가스, 2차 퍼지 ("2nd P") 가스, 및 캐리어 가스의 조합을 사용하여 증착된 4 개의 막들의 조성들을 나타내는, 이하의 표 1에 예시된다. 실리콘 및 산소 함량은 로 이온 (raw ion) 수로 리스트되고, 질소, 수소, 및 탄소 함량은 ㎤ 당 수의 밀도로 리스트된다. 막 조성들은 "SIMS" (secondary ion mass spectrometry) 를 사용하여 측정된다. 표의 첫번째 줄은 1차 퍼지 가스, 2차 퍼지 가스, 및 캐리어 가스로 N2를 사용하여 준비된 기준 막 조성을 나타낸다. 이러한 기준 조성에 대해, 표의 두번째 줄은 1차/버스트 퍼지 가스 및 2차 퍼지 가스 양자로서 N2가 Ar로 치환되어 증착된 막에서 질소 농도를 약 40 % (Si 이온 수로 스케일링됨) 감소된 것을 나타낸다. 이어서 표 1의 세번째 줄에 열거된 예는 2차 퍼지 가스로서 N2를 지속하면서 1차/버스트 퍼지 가스로서 Ar을 치환하는 것이 N의 농도에 유사한 감소를 산출하지 않는다는 것을 예시한다. 1차/버스트 퍼지 가스로만 Ar을 사용하여 동일한 질소 농도 감소가 달성되지 않기 때문에, 1차/버스트 퍼지 가스의 선택이 증착된 막에서의 N의 농도에 상당한 영향을 주지 않는다고 결론지어진다. 이는 막 형성 반응 단계 (상기에서 동작 (iii)) 동안 증착된 막에 잠재적으로 포함될 1차/버스트 퍼지 가스가 프로세싱 챔버 내에 존재하지 않는다 (또는 거의 없다) 는 것에 기초하여 합리화될 수도 있다. 한편, 2차 퍼지 가스는 통상적으로 막 형성 반응 단계 (동작 (iii)) 동안 존재하고, 따라서 증착된 막에 화학 종을 제공한다. 이러한 분석의 부가적인 증거로서, 표 1의 마지막 항목은 Ar이 1차/버스트 퍼지 가스 및 캐리어 가스 양자로 사용된 막 조성을 열거한다. 이것이 기준 조성에 대한 N 함량의 감소로 이끌지 못한다는 사실은 2차 퍼지 가스가 증착된 막에 대한 우세한 질소 제공자 (contributor) 라는 분석을 증명한다.
따라서 전술한 SIMS 실험들은 ALD 프로세스들에서 불활성 2차 퍼지 가스로서 N2 대신 아르곤 (Ar) 의 사용이 발생되는 증착된 막들에서의 N 함량을 제어/감소시키기에 효과적이라는 것을 예시한다. 그러나, Ar을 사용한 실험들은, N2와 상이한 이유이기는 하나, 2차 퍼지 가스로서 또한 덜 이상적이라는 것을 드러낸다. 동작 (iii) 에서의 막 형성 반응은 통상적으로 플라즈마 활성화되고, 플라즈마 생성 RF 필드는 통상적으로 2차 퍼지 동안 프로세싱 챔버 내에 존재한다. 플라즈마의 기판 표면 주변에서의 "주 플라즈마" -흡착된 막 전구체의 표면 반응을 활성화하기 위해 사용된 플라즈마- 의 생성에 부가하여, 이 RF 필드는 또한 챔버의 먼 영역에 "기생 플라즈마"를 생성할 수도 있다는 것을 나타낸다. 예를 들어, 도 3에 도시된 실시예에서 수행된 바와 같이, 샤워헤드가 막 전구체 및 1차 퍼지 가스 양자를 디스펜싱하기 위해 사용, 2차 퍼지 가스가 샤워헤드 위/뒤로부터 디스펜싱되는 구현예들에서, 강한/조밀한 기생 플라즈마가 샤워헤드 뒤/위의 프로세스 챔버 구역에서 생성된다는 것을 알았다. 이러한 의도치 않은 플라즈마는 매우 밝아 아마도 매우 조밀하고/강한 것으로 관찰된다. 또한, 샤워헤드의 상단부와 프로세싱 챔버의 상단 벽/천정 사이의 구역의 체적이 꽤 크기 때문에, 이 플라즈마는 매우 크게 체적 측정되고, 높은 플라즈마 밀도를 갖도록 커플링될 수도 있고, 기판 상에서 막 형성 반응을 활성화하기 위해 사용된 주 플라즈마로부터 상당한 양의 전력을 인출할 수도 있다.
따라서 기생 플라즈마의 형성은 다양한 이유들로 바람직하지 않다: 기생 플라즈마는, 주 플라즈마로부터 전력을 인출할 수도 있고 플라즈마의 밀도를 떨어뜨리는 "제어되지 않은" 전력 싱크이다. 또한, 기생 플라즈마의 밀도 및 전력 인출이 다양한 인자들에 기초하여 가변할 수도 있기 때문에, (막 형성 반응을 활성화하는) 주 플라즈마에 대한 기생 플라즈마의 영향은 또한 가변하고 예측불가능할 수도 있고, 따라서 웨이퍼-대-웨이퍼 (wafer-to-wafer) 가변성에 기여하는 중요한 인자가 될 수도 있다. 부가적으로, 기생 플라즈마는 챔버 벽 표면들 상에 향상된 증착을 발생시킬 수 있고, 상기 증착은 기판 상에 증착된 막을 오염시키는 입자들의 소스로서 작용할 수도 있다. 따라서, 강한/조밀한 플라즈마를 사용한 동작은 웨이퍼-대-웨이퍼 반복도, 툴 드리프트 (tool drift), 프로세스 입자 성능, 샤워헤드 컴포넌트들 및/또는 다른 챔버 컴포넌트들의 증가된 부식, 및/또는 다른 생산성 문제들을 갖는 장기적인 문제들을 유발할 가능성이 있고, 따라서, 이들 바람직하지 않은 결과들을 방지/최소화하기 위해, ALD 프로세스에서의 기생 플라즈마 생성의 부분적이거나 완전한 억제/제거가 중요한 목표이다.
이 문제를 해결하기 위한 한가지 방법은 강한 플라즈마를 쉽게 형성하지 못하고 (또는 전혀 플라즈마를 형성하지 못하고) 여전히 막 형성 반응 (동작 (iii) 에서) 을 간섭하지 않거나 막의 함량을 부정적인 방식으로 변경하지 않는 2차 퍼지 가스를 사려 깊게 선택하는 것이다. 이러한 선택 중 하나는 분자 산소 (O2) 이다. O2가 2차 퍼지 가스로서 1차 퍼지 가스로서 Ar과 함께 사용될 때, 샤워헤드 뒤에서 생성된 기생 플라즈마는 1차 퍼지 가스 및 2차 퍼지 가스 양자로서 Ar을 사용할 때 달리 생성될 기생 플라즈마보다 훨씬 약하다는 것을 알게 되었다.
특정한 이론으로 제한되지 않고, Ar에 대하여 O2가 사용될 때 기생 플라즈마의 비교적인 약세의 이유는 O2-기반 플라즈마는 Ar-기반 플라즈마에 비해 플라즈마를 지속시키기 위해 훨씬 보다 높은 레벨의 RF 전력을 요구하는 것으로 사료된다. 이는 아마도 분자 산소-산소 결합들과 연관된 큰 분해 에너지 뿐만 아니라 산소 원자들과 연관된 고 이온화 에너지에 기인한다. 따라서, O2-기반 플라즈마들은 (Ar-기반 플라즈마들과 같은) 다른 타입들의 플라즈마들에 대해 주어진 RF 전력을 지속하기 위해 낮은 전자 밀도들을 가져야 한다는 것을 알게 되었고, 따라서 일반적으로 이들을 "약한 플라즈마들"이라고 할 수도 있다. 이와 같이, ALD 사이클의 플라즈마-활성화 단계에서, 샤워헤드와 기판 사이에서 Ar 플라즈마를 생성/점화시키기 위해 사용된 RF 전력 및 샤워헤드 전압들은 샤워헤드 위의 캐비티에서 O2 플라즈마를 생성/점화시키기에 불충분하고, 또는 일부 점화된다면, O2 플라즈마는 매우 약할 것이다 (그리고 가시적으로 희미함). 선호되는 2차 퍼지 가스의 다른 특성에 관하여, N2와 달리, 통상적인 플라즈마 활성화된 ALD 표면 반응과 양립가능한 Ar/O2 혼합물뿐만 아니라 (적어도 일부 실시예들에서) O2 의 존재가 막 품질을 개선하기 위해 실제로 발견되었다는 것을 주의한다.
특정한 예에 대해, Ar-기반 기생 플라즈마의 존재에 의해 주 플라즈마로부터 RF 전력이 인출되는 정도 및 2차 퍼지 가스로서 O2를 사용함으로써 달성될 수도 있는 개선 정도를 상세히 설명하고 수량화하기 위해 수적 그리고 실험적 연구들이 수행되었다.
표 2는 1차/버스트 퍼지 가스 및 2차 퍼지 가스와 RF 전력 레벨들의 다양한 조합들로 구성된 프로세스 조건들의 5 개의 상이한 세트들을 열거한다. 5 개의 열거된 프로세스 조건들의 세트들에 따라 일 웨이퍼가 프로세싱된다. 표에 나타낸 데이터는 왼쪽에서부터 오른쪽으로 W 단위의 RF 전력 레벨, 옹스트롱 (Å) 단위의 평균 증착된 막 두께 (웨이퍼 각각의 표면에 걸쳐 49 개 지점들에서 측정됨), NU % (백분율 두께 불균일도 (1 표준 편차, 웨이퍼 각각의 표면 상의 49 개 지점들에서 스케일링되고, 다시 측정됨), 증착된 막 두께의 범위 (증착된 막의 가장 얇은 지점과 가장 두꺼운 지점 간의 차), NU %(R/2) (1/2 * (max thickness - min thickness )/mean thickness * 100 %로 규정된 -"반 범위 불균일도 (half range nonuniformity)"라고 하는- 통계적 측정치), 프로세스 스테이션 (4 개의 프로세스 스테이션들이 사용됨) 당 증착 사이클들의 수, ALD 사이클 당 증착 레이트 (예를 들어, 1.508 Å/사이클 = 349.8 Å / (58 사이클들 x 4 )), N2/N2의 1차/2차 퍼지 조합을 사용에 대해 추정된 전력 전달 레벨 및 (다시 N2/N2의 사용에 대한) 전력 손실 백분율이다.
표 2에서 구현된 전략은 기생 플라즈마의 부재시 증착 레이트와 RF 전력 레벨 간의 양적 관계를 확립하고, 이어서 기생 플라즈마의 존재에 대하여 전력이 손실되는 정도를 추정하기 위해 2차 퍼지 가스들로서 Ar 및 O2를 사용하여 증착 레이트를 다시 측정하는 것이다. 따라서, 표 2에서 처음 3 개의 항목들은 RF 전력 레벨이 3 개의 항목들 사이에서 가변하는 동안 1차 퍼지 가스 및 2차 퍼지 가스 양자에 대해 N2가 사용된 실험들에 대응한다. 이들 3 개의 데이터 지점들은 나중에 도 4에 도시되고 -증착 레이트 ("DepR") 대 RF 전력 레벨- 계산된 최상의 피트 (best-fit) 라인이 증착 레이트와 전력 간의 관계를 나타내기 위해 도면에 표시된다.
이어서 표 2에서 4 번째 항목은 Ar이 1차/버스트 퍼지 가스 및 2차 퍼지 가스로서 사용된 증착 실험의 결과들을 나타낸다. 표는 증착 레이트가 N2를 사용하여 1600 W RF 전력에서 획득된 1.508 Å/사이클로부터 1600 W RF 전력에서 1.66 Å/사이클로 증가되었다는 것을 나타낸다. 이어서 전력 손실이 N2를 사용하는 것에 대하여 대략 47 %라는 것을 나타내는 도 4의 관계로부터 추정된다. (증착 레이트는 전력 레벨에 반비례한다; 도 4 참조)
마지막으로, 표 2에서 5 번째 항목은 2차 퍼지 가스로서 Ar을 O2로 치환한 효과를 나타낸다. 표는 이 실험 증착 레이트가 N2를 사용한 증착 레이트에 보다 가까운, 1600 W에서 1.545 Å/사이클로 떨어진 것을 나타낸다. 이는 기생 플라즈마의 존재로 인해 N2에 대하여 단지 11 %의 전력 손실에 대응하고, Ar의 사용과 비교하여 실질적인 개선이다.
따라서, 분자 산소의 사용이 상기 논의된 문제들을 상당한 정도로 완화시킨다는 것을 발견하였다. 요컨대, 데이터 및 연관된 계산들은 기생 플라즈마에 의해 소비된 RF 전력이 프로세싱 스테이션으로 전달된 총 RF 전력의 50 %에 가까울 수도 있고, O2의 치환은 전력 손실을 10 % (적어도 이 예에서) 로 감소시킬 수도 있다는 것을 나타낸다. 전술한 분석을 일반화하면, 아르곤 -또는 동작 (iii) 에서 플라즈마를 활성화하는 반응을 지원하기 위해 사용된 다른 종- 의 이온화 에너지에 비해 큰 이온화 에너지 및 분해 에너지를 갖는 화학 종이 2차 퍼지 가스로서 사용하기에 좋은 후보가 될 수도 있다고 결론지을 수도 있다. 물론, 이들의 존재/사용은 또한 막 형성 반응 및 증착된 막의 목표된 특성들 (N2에 대해서가 아니라, O2의 경우) 과 양립가능해야 한다. 표 3은 전술한 바들을 요약한다:
BP 2 nd P 캐리어 가스 N-함량 기생 플라즈마
N2 N2 N2
Ar Ar N2
Ar N2 N2
Ar N2 Ar
Ar O 2 Ar
ALD (Atomic Layer Deposition) 기법들 및 증착된 막들의 상세한 설명
상기에 논의된 바와 같이, 디바이스들의 사이즈가 계속해서 축소되고, IC들이 3D 트랜지스터들 및 다른 3D 구조들을 채용하는 것으로 이동함에 따라, 컨포멀 막 재료 -특히, 유전체들이지만, 또한 다양한 도펀트 함유 재료들- 의 정밀한 양 (두께) 을 증착하는 능력이 점점 중요해진다. ALD (Atomic layer deposition) 는 목표된 막 두께를 달성하기 위해 통상적으로 복수의 증착 사이클들을 수반하는 컨포멀 막 증착을 달성하기 위한 일 기법이다.
활성화된 가스 상 반응들이 막들을 증착하기 위해 사용되는, CVD (chemical vapor deposition) 프로세스와는 반대로, ALD 프로세스들은 층 단위로 막들을 증착하기 위해 표면-매개 증착 반응들을 사용한다. 예를 들어, 일 부류의 ALD 프로세스들에서, 제 1 막 전구체 (P1) 가 가스 상으로 프로세싱 챔버 내에 도입되고, 기판에 노출되고, 그리고, 기판의 표면 (통상적으로 표면 활성화 사이트들의 집단에서) 에 흡착하게 된다. P1의 일부 분자들은 P1의 화학 흡착된 종 및 물리 흡착된 분자들을 포함하여, 기판 표면 상부에 응결된 상을 형성할 수도 있다. 이어서 화학 흡착된 종만 남도록, 가스 상 및 물리 흡착된 P1을 제거하기 위해 기판 표면을 둘러싸는 볼륨이 배기된다. 이어서 제 2 막 전구체 (P2) 가 프로세싱 챔버 내로 도입될 수도 있어, P2의 일부 분자들이 기판 표면에 흡착한다. 프로세싱 챔버 내에서 기판을 둘러싸는 볼륨은 다시 배기될 수도 있고, 이때, 결합되지 않은 P2가 제거된다. 후속하여, 기판에 에너지 (예를 들어, 열 에너지 또는 플라즈마 에너지) 가 제공되어 P1 및 P2의 흡착된 분자들 간의 표면 반응들을 활성화하고, 막 층을 형성한다. 마지막으로, 기판을 둘러싸는 볼륨은, 존재한다면, 반응되지 않은 P1 및/또는 P2 및/또는 반응 부산물을 제거하기 위해 다시 배기되고, 단일 사이클의 ALD를 종료한다.
다양한 화학물질들을 갖는 컨포멀 막들을 증착하기 위한 ALD 기법들 -그리고 기본적인 ALD 프로세스 시퀀스에 대한 반응 변형들- 은 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP405) 인 2011년 4월 11일 출원된 미국 특허 출원 번호 제 13/084,399 호, 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION"이고, 이제 미국 특허 제 8,637,411 호 (대리인 관리 번호 NOVLP427) 인, 2011년 9월 23일 출원된 미국 특허 출원 번호 제 13/242,084 호, 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (대리인 관리 번호 NOVLP428) 인 2011년 9월 1일 출원된 미국 특허 출원 번호 제 13/224,240 호, 및 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인 2012년 9월 7일 출원된 미국 특허 출원 번호 제 13/607,386 호에 기술되고, 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 통합된다. 이들 사전 출원들에 기술된 바와 같이, 기판 상에 재료의 단일 층을 증착하기 위한 기본적인 ALD 사이클은: (i) 막 전구체가 흡착 제한된 층을 형성하도록 막 전구체를 기판 상에 흡착시키는 단계, (ii) 흡착된 막 전구체를 둘러싸는 볼륨으로부터 흡착되지 않은 막 전구체를 제거하는 단계, (iii) 기판 상에 막 층을 형성하도록, 흡착된 막 전구체를 반응시키는 단계, 및 (iv) 기판 상에 형성된 막 층을 둘러싸는 볼륨으로부터 탈착된 막 전구체 및/또는 반응 부산물을 제거하는 단계를 포함할 수도 있다. 동작 (ii) 및 (iv) 에서의 제거는 기판을 둘러싸는 볼륨을 퍼지, 배기, 기준 압력 (base pressure) 으로의 펌프 다운 ("pump-to-base") 등을 통해 이루어질 수도 있다. 이러한 기본적인 ALD 동작들 (i) 내지 (iv) 의 시퀀스는 상기에 기술된 예에서와 같이 화학 흡착된 반응 종 P1 및 P2를 반드시 수반하거나, 제 2 반응 종을 반드시 수반해야 하는 것은 아니지만, 수반된 목표된 증착 화학물질에 따라, 이들 가능성들/옵션들이 채용될 수도 있다는 것을 주의해야 한다.
그러나, ALD의 흡착 제한된 특성으로 인해, 단일의 사이클의 ALD는 단지 재료의 얇은 막을 증착하고, 종종 재료의 단일 모노레이어 (monolayer) 만을 증착한다. 예를 들어, 막 전구체 도징 동작들의 노출 시간 및 막 전구체들의 (기판 표면으로의) 부착 계수들에 따라, ALD 사이클 각각은 단지 약 0.5 내지 3 Å 두께의 막 층을 증착할 수도 있다. 따라서, 통상적인 ALD 사이클의 동작들의 시퀀스 -방금 기술된 동작들 (i) 내지 (iv)- 는 일반적으로 목표된 두께의 컨포멀 막을 형성하도록, 다수 회 반복된다. 따라서, 일부 실시예들에서, 동작들 (i) 내지 (iv) 는 적어도 1 회, 또는 적어도 2 회, 또는 적어도 3 회, 또는 적어도 5 회, 또는 적어도 7 회, 또는 적어도 10 회가 연달아 연속적으로 반복된다. ALD 막은 ALD 사이클 당 약 0.1 Å 내지 약 2.5 Å, 또는 ALD 사이클 당 약 0.2 Å 내지 약 2.0 Å, 또는 ALD 사이클 당 약 0.3 Å 내지 약 1.8 Å, 또는 ALD 사이클 당 약 0.5 Å 내지 약 1.5 Å, 또는 ALD 사이클 당 약 0.1 Å 내지 약 1.5 Å, 또는 ALD 사이클 당 약 0.2 Å 내지 약 1.0 Å, 또는 ALD 사이클 당 약 0.3 Å 내지 약 1.0 Å, 또는 ALD 사이클 당 약 0.5 Å 내지 약 1.0 Å의 레이트로 증착될 수도 있다.
일부 막 형성 화학 물질들에서, 보조 반응물질 또는 공반응물질 (co-reactant) -부가적으로 "막 전구체"라고 지칭되는- 이 또한 채용될 수도 있다. 이러한 특정한 실시예들에서, 보조 반응 물질 또는 공반응물질은 단계들 (i) 내지 (iv) 의 서브세트 동안 또는 단계들 (i) 내지 (iv) 각각에 걸쳐, 단계들이 반복되기 때문에 연속적으로 흐를 수도 있다. 일부 실시예들에서, 이러한 다른 반응성 화학물 종 (보조 반응 물질, 공반응물질, 등) 은 막 전구체 (상기 기술된 전구체들 P1 및 P2를 수반하는 예에서와 같이) 와 반응하기 전에 막 전구체와 함께 기판 표면 상에 흡착될 수도 있지만, 다른 실시예들에서, 다른 반응성 화학물 종은 그 자체가 미리 기판의 표면 상에 흡착하지 않고 접촉하기 때문에 흡착된 막 전구체와 반응할 수도 있다. 또한, 일부 실시예들에서, 흡착된 막 전구체의 반응 동작 (iii) 은 플라즈마와 흡착된 막 전구체의 접촉을 수반할 수도 있다. 플라즈마는 기판 표면 상에서 막-형성 반응을 구동하기 위한 에너지를 제공할 수도 있다. 이러한 특정한 실시예들에서, 플라즈마는 적합한 RF 전력의 인가로 반응 챔버 내 (일부 실시예들에서, 원격으로 생성될 수도 있지만) 에서 생성된 산화성 플라즈마일 수도 있다. 다른 실시예들에서, 산화성 플라즈마 대신, 불활성 플라즈마가 사용될 수도 있다. 산화 플라즈마는 O2, N2O, 또는 CO2와 같은 하나 이상의 산화제들로부터 형성될 수도 있고, Ar, N2, 또는 He와 같은 하나 이상의 희석제들을 선택적으로 포함할 수도 있다. 일 실시예에서, 산화 플라즈마는 O2 및 Ar로부터 형성된다. 적합한 불활성 플라즈마는 He 또는 Ar과 같은 하나 이상의 불활성 가스들로부터 형성될 수도 있다. 또한 ALD 프로세스들에 대한 변화들이 인용된 (그리고 참조로서 인용된) 종래의 특허 출원들에서 상세히 기술된다.
이에 따라, ALD 프로세스를 통해 기판 상에 막 재료의 층들을 형성하기 위한 동작들의 기본적인 시퀀스는 도 5의 흐름도에 의해 개략적으로 예시된다. 도면에 도시된 바와 같이, 기판 상에 단일 막 층을 형성하기 위한 ALD 프로세스는 막 전구체가 기판 상에 흡착 제한된 층을 형성하도록 막 전구체를 기판 상에 흡착시키는 동작 511로 시작되고, 흡착된 막 전구체를 둘러싸는 볼륨으로부터 적어도 일부 흡착되지 않은 막 전구체를 제거하는 동작 512이 이어질 수도 있다. 그 후, 동작 513에서, 기판 상에 막 층을 형성하도록, 흡착된 막 전구체가 반응된다. 마지막으로, 일부 실시예들 (도 5에서 점선으로 그려진 박스로 나타낸 바와 같이) 및 막 형성 반응의 화학물질에 따라, 동작 513에서 흡착된 전구체를 반응시킨 후, 존재한다면, 막 층을 둘러싸는 볼륨으로부터 탈착된 막 전구체 및/또는 반응 부산물을 제거하기 위해 동작 513에 동작 514이 이어질 수도 있다.
동작들 511 내지 514의 전술한 시퀀스는 단일 막 층의 형성을 발생시키는, 단일 ALD 사이클을 나타낸다. 그러나, ALD를 통해 형성된 단일 막 층은 통상적으로 매우 얇기 때문에 -종종 단지 단일 분자 두께- 주목할만한 두께의 막을 구축하기 위해 복수의 ALD 사이클들이 순차적으로 반복된다. 따라서, 다시 도 5를 참조하면, N 층의 막 (또는 등가적으로, 막의 N 층) 이 증착되는 것이 목표된다면, 복수의 ALD 사이클들 (동작 511 내지 514) 이 순차적으로 반복되고 ALD 사이클 각각이 동작 514으로 종료한 후, 동작 515에서, ALD의 N 사이클들이 수행되었는지 결정함된다. 이어서, N 사이클들이 수행되었다면, 막 형성 동작들이 종료되고, 그렇지 않으면, 프로세스 시퀀스는 또 다른 ALD 사이클을 시작하기 위해 동작 511로 돌아간다.
일부 실시예들에서, 다층 증착된 막은 교번하는 조성으로, 예를 들어, 일 조성을 갖는 복수의 층들을 순차적으로 컨포멀하게 증착하고, 이어서 또 다른 조성을 갖는 복수의 층들을 순차적으로 컨포멀하게 증착하고, 이어서 이들 두 시퀀스들을 잠재적으로 반복 및 교번함으로써, 형성된 영역들/부분들을 포함할 수도 있다. 이러한 양태들의 증착된 ALD 막들의 일부는, 예를 들어, 모든 목적들을 위해 전체가 본 명세서에 참조로서 통합된, 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인, 2012년 9월 7일 출원된 미국 특허 출원 번호 제 13/607,386 호에 기술된다. 교번하는 조성의 부분들 -아래에 놓인 목표 IC 구조체 또는 기판 영역을 도핑하기 위해 사용된 막들을 포함하는- 을 갖는 컨포멀 막들 및 이들 막들을 형성하는 방법들의 추가 예들은, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP405) 인 2011년 4월 11일 출원된 미국 특허 출원 번호 제 13/084,399 호; 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION"이고, 이제 미국 특허 제 8,637,411 호 (대리인 관리 번호 NOVLP427) 인, 2011년 9월 23일 출원된 미국 특허 출원 번호 제 13/242,084 호; 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (대리인 관리 번호 NOVLP428) 인 2011년 9월 1일 출원된 미국 특허 출원 번호 제 13/224,240 호; 및 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인 2012년 9월 7일 출원된 미국 특허 출원 번호 제 13/607,386 호; 명칭이 "CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS"인 2014년 2월 28일 출원된 미국 특허 출원 번호 제 14/194,549 호에 기술되고, 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
상기 참조된 명세서들에 상세히 설명된 바와 같이, ALD 프로세스들은 종종 컨포멀한 실리콘 옥사이드 막들 (SiOx) 을 증착하도록 사용되지만, ALD 프로세스들은 또한 전술한 인용된 명세서들에 개시된 바와 같이 다른 화학물질들의 컨포멀한 유전체 막들을 증착하기 위해 사용될 수도 있다. ALD-형성된 유전체 막들은, 일부 실시예들에서, 실리콘 카바이드 (SiC) 재료, 실리콘 나이트라이드 (SiN) 재료, 실리콘 카보나이트라이드 (SiCN) 재료 또는 이들의 조합을 포함할 수도 있다. 실리콘-카본-옥사이드들 및 실리콘-카본-옥시나이트라이드들, 및 실리콘-카본-나이트라이드들이 또한 일부 실시예에서 ALD-형성된 막들로 형성될 수도 있다. 이들 타입들의 막들을 증착하기 위한 방법들, 기법들, 및 동작들은 모든 목적들을 위해 본 명세서에 참조로서 인용된, 명칭이 "REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS"이고, 대리인 관리 번호 NOVLP466/NVLS003722인 2012년 6월 12일 출원된 미국 특허 출원 번호 제 13/494,836 호; 명칭이 "METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES"이고, 대리인 관리 번호 LAMRP046/3149인 2013년 5월 31일 출원된 미국 특허 출원 번호 제 13/907,699 호; 명칭이 "GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS"인 미국 특허 출원 번호 제 14/062,648 호; 및 명칭이 "CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS"인 2014년 2월 28일 출원된 미국 특허 출원 번호 제 14/194,549 호에 상세히 기술되었다.
ALD를 통한 막 증착의 다른 예들은 상기에 참조로서 인용되고 열거된 특허 출원들 (미국 특허 출원 번호 13/084,399, 13/242,084, 13/224,240, 및 14/194,549) 에 기술된 바와 같이 도펀트-함유 막들을 증착하기 위한 화학물질들을 포함한다. 상기 출원들에 기술된 바와 같이, 다양한 도펀트-함유 막 전구체들이 붕소-도핑된 실리케이트 유리 (BSG), 인-도핑된 실리케이트 유리 (PSG), 붕소 인 도핑된 실리케이트 유리 (BPSG), 비소 (As) 도핑된 실리케이트 유리 (ASG) 등과 같은 도펀트-함유 막들을 형성하도록, 사용될 수도 있다. 도펀트-함유 막들은 B2O3, B2O, P2O5, P2O3, As2O3, As2O5, 등을 포함할 수도 있다. 따라서, 붕소 이외의 도펀트들을 갖는 도펀트-함유 막들이 실현될 수도 있다. 예들은 갈륨, 인, 또는 비소 도펀트들, 또는 다른 원자가 III 원소 또는 V 원소들과 같은 반도체 기판을 도핑하기에 적절한 다른 원소들을 포함한다.
ALD 프로세스 조건들에 따라, ALD 프로세스들은 다양한 온도들에서 수행될 수도 있다. 일부 실시예들에서, ALD 반응 챔버 내의 적합한 온도들은 약 25 ℃ 내지 450 ℃, 또는 약 50 ℃ 내지 300 ℃, 또는 약 20 ℃ 내지 400 ℃, 또는 약 200 ℃ 내지 400 ℃, 또는 약 100 ℃ 내지 350 ℃의 범위일 수도 있다.
유사하게, ALD 프로세스들은 다양한 ALD 반응 챔버 압력들에서 수행될 수도 있다. 일부 실시예들에서, 반응 챔버 내의 적합한 압력들은 약 10 mTorr 내지 10 Torr, 또는 약 20 mTorr 내지 8 Torr, 또는 약 50 mTorr 내지 5 Torr, 또는 약 100 mTorr 내지 2 Torr의 범위일 수도 있다.
다양한 RF 전력 레벨들이 동작 (iii) 에서 사용된다면 플라즈마를 생성하도록 채용될 수도 있다. 일부 실시예들에서, 적합한 RF 전력은 약 100 W 내지 10 kW, 또는 약 200 W 내지 6 kW, 또는 약 500 W, 및 3 kW, 또는 약 1 kW 내지 2 kW의 범위일 수도 있다.
다양한 막 전구체 플로우 레이트들이 동작 (i) 에서 채용될 수도 있다. 일부 실시예들에서, 적합한 플로우 레이트들은 약 0.1 mL/min 내지 약 10 mL/min, 또는 약 0.5 mL/min 내지 약 5 mL/min, 또는 약 1 mL/min 내지 약 3 mL/min의 범위일 수도 있다.
다양한 가스 플로우 레이트들이 다양한 동작들에서 사용될 수도 있다. 일부 실시예들에서, 일반적인 가스 플로우 레이트들은 약 1 L/min 내지 약 20 L/min, 또는 약 2 L/min 내지 약 10 L/min의 범위일 수도 있다. 동작 (ii) 및 동작 (iv) 에서 선택적인 불활성 퍼지 단계들에 대해, 채용된 버스트 (burst) 플로우 레이트는 약 20 L/min 내지 약 100 L/min, 또는 약 40 L/min 내지 약 60 L/min의 범위일 수도 있다.
다시 한번, 일부 실시예들에서, 펌프 다운 (pump-to-base) 단계는 하나 이상의 진공 펌프들에 반응 챔버를 직접적으로 노출시킴으로써 반응 챔버를 기준 압력으로 펌핑하는 것을 지칭한다. 일부 실시예들에서, 기준 압력은 통상적으로 겨우 몇 milliTorr (예를 들어, 약 1 내지 20 mTorr) 일 수 있다. 또한, 상기에 나타낸 바와 같이, 펌프 다운 단계는 불활성 퍼지가 동반되거나 동반되지 않을 수도 있고, 따라서 하나 이상의 밸브들이 진공 펌프로의 컨덕턴스 경로로 개방될 때 캐리어 가스들이 흐르거나 흐르지 않을 수도 있다.
또한, 다시 한번, 복수의 ALD 사이클들이 컨포멀 층들의 스택들의 구축을 위해 반복될 수도 있다. 일부 실시예들에서, 각각의 층은 실질적으로 동일한 조성을 가지지만, 다른 실시예들에서, 순차적으로 ALD 증착된 층들은 상이한 조성들을 가질 수도 있지만, 특정한 이러한 실시예들에서, 조성은 층단위로 교번할 수도 있거나, 상기에 기술된 바와 같이, 상이한 조성들을 갖는 층들의 반복하는 시퀀스가 있을 수도 있다. 따라서, 실시예에 따라, 특정한 스택 엔지니어링 개념들은, 상기에 참조로서 인용되고 열거된 특허 출원들 (미국 특허 출원 번호 13/084,399, 13/242,084, 및 13/224,240) 에 개시된 바와 같이, 이들 막들에서 붕소, 인, 또는 비소 농도를 조절하도록 사용될 수도 있다.
기판 프로세싱 장치들의 상세한 기술
본 명세서에 기술된 방법들은 임의의 적합한 반도체 기판 프로세싱 장치로 수행될 수도 있다. 적합한 장치는 본 명세서에 개시된 다양한 채널 도핑 방법들에 따라 프로세스 동작들을 달성하기 위한 하드웨어 및 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 실시예들에서, 하드웨어는 멀티스테이션 기판 프로세싱 툴에 포함된 하나 이상의 프로세스 스테이션들, 및 본 명세서에 개시된 프로세싱 기법들에 따라 프로세스 동작들을 제어하기 위한, 머신-판독가능한 인스트럭션들을 갖는 (또는 액세스하는) 제어기를 포함할 수도 있다.
따라서, 일부 실시예들에서, 복수의 반도체 기판들 상에 재료의 막들을 증착하기에 적합한 장치는 각각이 프로세싱 챔버 내에 포함된 기판 홀더를 갖는, 제 1 세트의 하나 이상의 프로세스 스테이션들, 각각이 프로세싱 챔버 내에 포함된 기판 홀더를 갖는, 제 2 세트의 하나 이상의 프로세스 스테이션들, 프로세스 스테이션들로의 막 전구체의 유동을 제어하기 위한 하나 이상의 밸브들, 하나 이상의 프로세싱 챔버들 내에 포함된 프로세스 스테이션들을 둘러싸는 볼륨들로부터 막 전구체를 제거하기 위한 하나 이상의 밸브로 동작되는 진공 소스들을 포함할 수도 있다. 그리고, 이러한 장치는 또한 기판들 상으로 막들을 증착하기 위해 기판 로딩 디바이스, 기판 이송 디바이스, 하나 이상의 밸브들, 및 진공 소스를 동작시키기 위한 머신-판독가능한 인스트럭션들을 갖는 (또는 액세스하는) 제어기를 포함할 수도 있다.
따라서, 일부 실시예들에서, 제어기에 의해 실행된 상기 인스트럭션들은 프로세싱 챔버 내에 포함된 다수의 프로세스 스테이션들에서 다수의 기판들 상에 막들을 형성하기 위한 인스트럭션들을 포함할 수도 있고, 다수의 막 층들은 ALD 사이클들의 시퀀스에 의해 각각의 기판 상에 형성된다. 따라서, 특정한 이러한 실시예들에서, 제어기에 의해 실행된 상기 인스트럭션들은 상기 기술된 바와 같은 ALD 동작들 (i) 내지 (iv) 를 수행하기 위한 인스트럭션들, 및 기판 프로세싱 장치의 복수의 프로세스 스테이션들에서 복수의 기판들 상에 다수의 막 층들을 형성하도록, ALD 동작들 (i) 내지 (iv) 를 복수 회 반복하기 위한 인스트럭션들을 포함할 수도 있다.
이에 따라, 도 1은 기판 프로세싱 장치 (100) 의 실시예를 개략적으로 도시한다. 간결성을 위해, 프로세싱 장치 (100) 는 저압 분위기를 유지하기 위해 프로세스 챔버 바디 (102) 를 갖는 독립된 프로세스 스테이션으로서 도시된다. 그러나, 본 명세서에 기술된 바와 같이, 복수의 프로세스 스테이션들은 공통 프로세스 툴 분위기 내 -예를 들어, 공통 반응 챔버 내- 에 포함될 수도 있다는 것이 이해될 것이다. 예를 들어, 도 2는 멀티스테이션 프로세싱 툴의 실시예를 도시한다. 또한, 일부 실시예들에서, 상기 상세히 논의된 것들을 포함하는, 프로세싱 장치 (100) 의 하나 이상의 하드웨어 파라미터들은, 하나 이상의 시스템 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
프로세스 스테이션 (100) 은 분배 샤워헤드 (106) 로 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (101) 과 유체적으로 통신한다. 반응 물질 전달 시스템 (101) 은 샤워헤드 (106) 로의 전달을 위해 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 베젤 (104) 을 포함한다. 하나 이상의 혼합 베젤 유입부 밸브들 (120) 이 혼합 베젤 (104) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
일부 반응 물질들은 증기화 및 프로세스 챔버 (102) 로의 후속하는 전달 전에 액체 형태로 저장될 수도 있다. 도 1의 실시예는 혼합 베젤 (104) 로 공급될 액체 반응 물질을 증기화하기 위한 기화 지점 (103) 을 포함한다. 일부 실시예들에서, 기화 지점 (103) 은 가열된 액체 주입 모듈일 수도 있다. 일부 실시예들에서, 기화 지점 (103) 은 가열된 증발기일 수도 있다. 이러한 모듈들/증발기들로부터 생산된 포화된 반응 물질 증기는 적절한 제어가 이루어지지 않을 때 (예를 들어, 액체 반응 물질을 기화/원자화 (atomizing) 하는데 헬륨이 사용되지 않을 때) 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 방법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 스윕핑 (sweeping) 및/또는 배기하는 것을 포함할 수도 있다. 그러나, 전달 파이프를 스윕핑하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 상승시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (103) 의 전달 파이프 다운스트림은 가열 처리될 수도 있다. 일부 예들에서, 혼합 베젤 (104) 이 또한 가열 처리될 수도 있다. 일 비제한적 예에서, 기화 지점 (103) 의 파이프 다운스트림은 혼합 베젤 (104) 에서 대략 100 ℃에서 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
언급된 바와 같이, 일부 실시예들에서 기화 지점 (103) 은 가열된 액체 주입 모듈 ("액체 주입기"로 약칭) 일 수도 있다. 이러한 액체 주입기는 혼합 베젤의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 증기화할 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 증기화될 수도 있고, 액체 주입과 증기화 완성 사이의 지연을 감소시킨다는 것이 이해될 것이다. 보다 빠른 증기화는 기화 지점 (103) 으로부터 파이프 다운스트림의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 베젤 (104) 에 직접적으로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (106) 에 직접적으로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (103) 의 업스트림에 액체 유량 제어기 (LFC) 가 기화 및 프로세싱 챔버 (102) 로의 전달을 위해 액체의 질량 유량을 제어하기 위해 제공될 수도 있다. 예를 들어, 액체 유량 제어기 (LFC) 는 LFC의 다운스트림에 위치된 열적 질량 유량 미터 (MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 유동을 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 전환될 수도 있다. 일부 실시예들에서, LFC는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 전환될 수도 있다.
샤워헤드 (106) 는 프로세스 스테이션에서 기판 (112) 을 향하여 프로세스 가스들 및/또는 반응 물질들 (예를 들어, 막 전구체들) 을 분배하고, 이의 유동은 샤워헤드로부터 업스트림의 하나 이상의 밸브들 (예를 들어, 밸브들 (120, 120A, 105)) 에 의해 제어된다. 도 1에 도시된 실시예에서, 기판 (112) 은 샤워헤드 (106) 아래에 위치되고, 페데스탈 (108) 상에 얹힌 것으로 도시된다. 샤워헤드 (106) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (112) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 마이크로볼륨 (107) 은 샤워헤드 (106) 아래에 위치된다. 프로세싱 챔버의 전체 볼륨에서보다 기판 가까이의 프로세스 스테이션의 마이크로볼륨 내에서 ALD 프로세스를 수행하는 것은 반응물질 노출 및 스윕핑 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들에 대한 프로세스 스테이션 로보틱스의 노출을 제한하는 등을 할 수 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 볼륨을 포함한다.
일부 실시예들에서, 페데스탈 (108) 은 기판 (112) 을 마이크로볼륨 (107) 에 노출시키고/시키거나 마이크로볼륨 (107) 의 체적을 변화시키기 위해 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 단계에서, 페데스탈 (108) 은 기판 (112) 으로 하여금 페데스탈 (108) 상으로 로딩되게 하도록 하강될 수도 있다. 기판 상의 증착 프로세스 단계 동안, 페데스탈 (108) 은 마이크로볼륨 (107) 내에 기판 (112) 을 포지셔닝하기 위해 상승될 수도 있다. 일부 실시예들에서, 마이크로볼륨 (107) 은 증착 프로세스 동안 고 유동 임피던스 영역을 생성하기 위해 페데스탈 (108) 의 일부뿐만 아니라 기판 (112) 을 완전히 둘러쌀 수도 있다.
선택적으로, 페데스탈 (108) 은 마이크로볼륨 (107) 내에서 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 일부의 증착 프로세스 동안 하강되고/되거나 상승될 수도 있다. 프로세싱 챔버 바디 (102) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (108) 을 하강시키는 것은 마이크로볼륨 (107) 으로 하여금 배기되게 할 수도 있다. 프로세스 챔버 볼륨에 대한 마이크로볼륨의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:500 내지 1:10의 체적 비를 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 시스템 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
다른 시나리오에서, 페데스탈 (108) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 예를 들어, ALD 또는 CVD 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 변할 수 있게 한다. 증착 프로세스 단계의 종료 시, 페데스탈 (108) 은 다른 기판 이송 단계 동안 페데스탈 (108) 로부터 기판 (112) 의 제거를 허용하기 위해 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변화들이 높이-조정가능한 페데스탈을 참조하지만, 일부 실시예들에서, 샤워헤드 (106) 의 위치는 마이크로볼륨 (107) 의 체적을 변화시키기 위해 페데스탈 (108) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (108) 및/또는 샤워헤드 (106) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 변화될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (108) 은 기판 (112) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은, 전술한 동작들의 전부 또는 서브세트를 수행하기 위한 머신-판독가능 인스트럭션들을 갖는, 하나 이상의 적합한 시스템 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
도 1에 도시된 실시예로 돌아가면, 샤워헤드 (106) 및 페데스탈 (108) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (114) 및 매칭 네트워크 (116) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 (예를 들어, 적절한 머신-판독가능 인스트럭션들을 갖는 시스템 제어기를 통해) 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (114) 및 매칭 네트워크 (116) 는 목표된 조성의 라디컬 종을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (114) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (114) 는 서로 독립적으로 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 50 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 비제한적인 일 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키기 위해 간헐적으로 펄싱될 수도 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 활성화 단계를 위한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 단계에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 단계들은 프로세스 단계를 위한 모든 인스트럭션들이 이 프로세스 단계와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 단계에 선행하는 레시피 단계에 포함될 수도 있다. 예를 들어, 제 1 레시피 단계는 불활성 (예를 들어, 헬륨) 및/또는 반응 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 전력 설정 점으로 플라즈마 생성기를 설정하기 위한 인스트럭션들, 및 제 1 레시피 단계를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 단계는 플라즈마 생성기를 인에이블하기 위한 인스트럭션들 및 제 2 레시피 단계를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 단계는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 단계를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 단계들은 더 세분화될 수도 있고/있거나 본 개시의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
일부 증착 프로세스들에서, 플라즈마 스트라이킹은 지속기간 중에서 몇 초 이상 지속된다. 본 명세서에 기술된 특정한 구현예들에서, 프로세싱 사이클 동안 훨씬 짧은 플라즈마 스트라이킹이 인가될 수도 있다. 이들은 0.25 초가 구체적인 예인, 50 ㎳ 내지 1 초의 단위일 수도 있다. 이러한 짧은 RF 플라즈마 스트라이킹은 플라즈마의 고속 안정화를 필요로 한다. 이를 달성하기 위해, 플라즈마 생성기는 주파수가 플로팅하도록 허용되는 동안, 임피던스 매칭이 특정한 전압으로 프리셋되도록 구성될 수도 있다. 관습적으로, 고주파수 플라즈마들이 약 13.56 ㎒의 RF 주파수에서 생성된다. 본 명세서에 개시된 다양한 실시예들에서, 주파수는 이들 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 미리 결정된 전압으로 고정하면서 주파수가 플로팅되게 허용함으로써, 플라즈마는 훨씬 보다 빠르게 안정화될 수 있고, ALD 사이클들과 연관된 매우 짧은 플라즈마 스트라이킹들을 사용할 때 이 결과가 중요할 수도 있다.
일부 실시예들에서, 페데스탈 (108) 은 히터 (110) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세싱 장치 (100) 를 위한 압력 제어가 버터플라이 밸브 (118) 와 같은 하나 이상의 밸브로 동작된 진공 소스들에 의해 제공될 수도 있다. 도 1의 실시예에 도시된 바와 같이, 버터플라이 밸브 (118) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세싱 장치 (100) 의 압력 제어는 또한 프로세싱 챔버 (102) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다. 일부 실시예들에서, 하나 이상의 밸브로 동작된 진공 소스들 -버터플라이 밸브 (118) 와 같은- 은 적절한 ALD 동작 단계들 동안 프로세스 스테이션들을 둘러싸는 볼륨들로부터 막 전구체를 제거하기 위해 사용될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 기판 프로세싱 툴에 포함될 수도 있다. 도 2는 공통 저압력 프로세싱 챔버 (214) 내에 복수의 프로세스 스테이션들 (201, 202, 203, 204) 을 포함하는 멀티스테이션 프로세싱 툴 (200) 의 예를 개략적으로 예시한다. 각각의 스테이션을 저압력 분위기로 유지함으로써, 막 증착 프로세스들 사이에서 진공 브레이크로 인한 디펙트들이 방지될 수도 있다.
도 2에 도시된 바와 같이, 멀티스테이션 프로세싱 툴 (200) 은 기판 로딩 포트 (220), 및 대기 포트 (220) 를 통해 포드 (228) 로부터 프로세싱 챔버 (214) 내로, 그리고 마지막으로 스테이션 상으로, 로딩된 카세트로부터 기판들을 이동시키도록 구성된 기판 핸들러 로봇 (226) 을 갖는다. 구체적으로, 이 경우에서, 기판 핸들러 로봇 (226) 은 프로세스 스테이션들 (201 및 202) 에서 기판들을 로딩하고, 그리고 기판 이송 디바이스, 이 경우에서 기판 캐로절 (290) 은 다양한 프로세스 스테이션들 (201, 202, 203, 및 204) 사이에서 기판들을 이송한다. 도 2에 도시된 실시예에서, 기판 로딩 디바이스는 기판 조작을 위해 2 개의 암들을 갖는 기판 핸들러 로봇 (226) 으로 도시되고, 또한, 스테이션들 (201 및 202) 양자에서 (아마도 동시에 또는 아마도 순차적으로) 기판들을 로딩할 수 있는 것으로 도시된다. 이서서, 스테이션들 (201 및 202) 에서의 로딩 후에, 기판 이송 디바이스, 도 2에 도시된 캐로절 (290) 은 스테이션들 (201 및 202) 로부터 스테이션들 (203 및 204) 로 2 개의 기판들을 이송하기 위해 (기판의 평면에 실질적으로 수직 (평면으로부터 나오는) 이고 기판들 사이에서 실질적으로 등거리인, 중심축을 중심으로) 180도 회전할 수도 있다. 이 때, 기판 핸들러 로봇 (226) 은 2 개의 새로운 기판들을 스테이션들 (201 및 202) 에서 로딩할 수 있고, 로딩 프로세스를 완료한다. 언로딩하기 위해, 이들 단계들은 4 개의 웨이퍼들의 복수의 세트들이 프로세싱된다면, 기판 핸들러 로봇 (226) 에 의한 2 개의 기판들의 언로딩 각각이 이송 캐로절 (290) 을 180도 회전시키기 전에 2 개의 새로운 기판들의 로딩을 동반하는 것을 제외하고, 역이 될 수도 있다. 유사하게, 기판들을 단 하나의 스테이션 즉, 201에 위치시키도록 구성된 하나의 암을 갖는 핸들러 로봇이 모든 4 개의 스테이션들에서 기판들을 로딩하기 위해 캐로절 (290) 의 90도씩 4 회전을 동반하는 4 단계 로딩 프로세스에 사용될 것이다.
도 2에 도시된 프로세싱 챔버 (214) 는 4 개의 프로세스 스테이션들 (201, 202, 203, 및 204) 을 제공한다. 각각의 스테이션은 가열된 페데스탈 (프로세스 스테이션 (201) 에 대해 218로 도시됨) 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각은 상이한 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 프로세스 모드 및 CVD 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (214) 는 ALD/CVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (214) 가 4 개의 프로세스 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 1, 또는 2, 또는 3, 또는 4, 또는 5, 또는 6, 또는 7, 또는 8, 또는 9, 또는 10, 또는 11, 또는 12, 또는 13, 또는 14, 또는 15, 또는 16, 또는 그 이상의 프로세스 스테이션들 (또는 실시예들의 세트는, 반응 챔버 당 2 내지 6 개의 프로세스 스테이션들, 또는 반응 챔버 당 4 내지 8 개의 프로세스 스테이션들, 또는 반응 챔버 당 8 내지 16 개의 프로세스 스테이션들, 등과 같이, 전술한 값들의 임의의 쌍에 의해 규정된 범위 내에서 반응 챔버 당 다수의 프로세스 스테이션들을 갖는 것으로 기술될 수도 있다) 을 가질 수도 있다.
상기에 나타낸 바와 같이, 도 2는 프로세싱 챔버 (214) 내의 프로세스 스테이션들 (201, 202, 203, 및 204) 사이에서 기판들을 이송하기 위한 기판 이송 디바이스 (290) 의 실시예를 도시한다. 임의의 적합한 기판 이송 디바이스가 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 및 기판 핸들러 로봇들을 포함한다.
샤워헤드들 샤워헤드 칼라들의 상세한 기술
ALD 프로세스들에서, 막 전구체는 반응 챔버 내에서 교번적으로 존재하고 나중에 배기되어야 한다. 기생 증착을 방지하기 위해, 프로세싱 챔버 내에서 과도한 전구체는 다음 전구체가 도입되기 전에 프로세싱 챔버 및 공유된 전구체 (샤워헤드의 스템과 같은) 통로들로부터 제거된다. 과도한 전구체의 제거는 보통 불활성 가스를 사용하여 전달 통로 및 챔버를 퍼지함으로써 이루어진다. 그러나, 샹들리에 타입 샤워헤드를 사용할 때, 샤워헤드로부터의 퍼지 가스는 샤워헤드 뒤에 트랩된 과도한 전구체를 효과적으로 제거할 수 없다. 따라서, 전구체는 샤워헤드의 후면, 상단 플레이트, 및 프로세싱 챔버 벽 상에 주목할만한 양의 기생 증착을 유발할 수 있다. 고체 유전체를 사용하여 데드 스페이스 (dead space) 를 충진하는 것은 접지로의 RF 커플링 문제들을 유발할 수도 있기 때문에 불가능하다. 따라서, 상기에 기술된 바와 같이, 샤워헤드의 후면으로부터 도입된 2차 퍼지 가스는 이러한 기생 증착을 방지하기 위해 채용될 수도 있다. 이러한 2차 퍼지를 구현하기 위한 하드웨어가 이제 상세히 기술될 것이다:
이제 도 6을 참조하면, 샤워헤드 (670) 를 갖는 프로세싱 챔버 (660) 를 포함하는 기판 프로세싱 시스템 (650) 의 예가 도시된다. 샤워헤드 (670) 는 스템부 (672) 및 헤드부 (674) 를 포함한다. 헤드부 (674) 는 내측 캐비티 (675) 를 규정한다. 전구체 또는 퍼지 가스와 같은 유체들은 스템부 (672) 를 통해, 분산 플레이트 (676) 상으로 그리고 내측 캐비티 (675) 내로 유동한다. 이어서 유체들은 프로세싱 챔버 내로 헤드부 (674) 의 하단 표면에서 장치들/이격된 홀들 (678) 을 통과한다.
샤워헤드 (670) 의 스템부 (672) 는 샤워헤드 칼라 (680) 에 의해 프로세싱 챔버 (660) 의 상단 벽에 연결된다. 샤워헤드 칼라 (680) 는 일반적으로 "T"-형상 단면을 갖고 헤드부 (681) 및 스템부 (683) 를 포함한다. 샤워헤드 칼라 (680) 는 실린더 형상이고 샤워헤드 (670) 의 스템부 (672) 를 수용하는 내측 캐비티 (684) 를 구성한다. 2차 퍼지 가스가 내측 캐비티 (684) 로부터 스템부 (683) 의 외측 표면으로 유동되도록 복수의 슬롯 형상 어퍼처들 (686) 이 스템부 (683) 내에 형성된다. 도 3에 도시된 2차 퍼지 유동 라인들 (320) 뿐만 아니라, 도 6의 슬롯 형상 어퍼처들의 배향으로부터 증명된 바와 같이, 2차 퍼지 가스는 기판의 평면에 실질적으로 평행한 방향 (도 3에 도시된 바와 같이 챔버 벽들 주변에서 유동 방향이 바뀌지만) 으로 프로세싱 챔버 내로 유동될 수도 있다.
유체 커넥터 (690) 는 샤워헤드 칼라 (680) 의 헤드부 (681) 에 연결될 수도 있고 퍼지 가스와 같은 유체를 공급하도록 사용된다. 유체 커넥터 (690) 는 일반적으로 692로 식별되는 하나 이상의 도관들 및/또는 커넥터들을 포함한다. 유사하게 샤워헤드 칼라 (680) 의 헤드부 (681) 는 샤워헤드 칼라 (680) 의 내측 캐비티 (684) 로 유체의 유동을 지향시키기 위해 일반적으로 693으로 식별된 도관들 및/또는 커넥터들을 포함한다.
플레이트 (700) 는 샤워헤드 (670) 의 헤드부 (674) 와 샤워헤드 칼라 (680) 사이에 배열된다. 플레이트 (700) 는 상부 표면 (704), 센터링 (centering) 개구 또는 보어 (710), 및 하단 표면 (714) 을 포함한다. 일부 예들에서, 플레이트 (700) 는 세라믹으로 이루어진다. 플레이트 (700) 의 두께는 접지 또는 기생 플라즈마로의 용량 커플링 및 재료를 최소화하도록 선택될 수도 있다. 플레이트 (700) 의 상부 표면 (704) 은 샤워헤드 칼라 (680) 의 하단 에지로부터 이격되어 유체로 하여금 그 사이를 통과하게 한다. 센터링 보어 (710) 는 또한 스템부 (672) 로부터 이격되어 유체로 하여금 그 사이를 통과하게 한다. 플레이트의 하단 표면 (714) 은 샤워헤드 (670) 의 상부 표면으로부터 이격되어, 유체로 하여금 그 사이로 유동하게 한다. 일부 예들에서, 플레이트 (700) 는 생략될 수도 있고, 프로세싱 챔버는 플레이트 (700) 없이 동작할 수도 있다.
칼라를 통해 2차 퍼지 가스를 유동시키는 것은 캐비티 내에 원치 않는 막 증착을 방지하기 위해 프로세스 증착 화학물질의 캐비티 영역들 진입을 방해한다. 슬롯들 및 다른 갭들의 치수들은 슬롯들 및 갭들 내에서의 플라즈마 점화 (light-up) 를 방지하고 페클레 조건이 목표된 가스 플로우 레이트들에 대한 역 확산을 방지하도록 만족되도록 선택될 수도 있다.
이제 도 7을 참조하면, 샤워헤드 칼라 (680) 의 예가 도시된다. 샤워헤드 칼라 (680) 는 헤드부 (681) 및 스템부 (683) 를 포함한다. 슬롯들 (686) 은 아치형상을 가질 수도 있고 스템부 (683) 둘레에 배열될 수도 있다. 슬롯들 (686) 은 유체로 하여금 내측 캐비티 (684) 로부터 슬롯들 (686) 을 통해 유동하게 한다. 헤드부 (681) 는 유체 커넥터 (690) 상의 대응하는 접속부 (mating portion) 와 접속시키는 접속부 (718) 를 포함할 수도 있다. 연결될 때, 샤워헤드 칼라 (680) 의 도관 (693) 은 유체 커넥터 (690) 의 도관 (692) 과 정렬된다.
이제 도 8을 참조하면, 샤워헤드 칼라 (680) 를 위한 유체 커넥터 (690) 의 예가 도시된다. 유체 커넥터 (690) 는 제 2 접속부 (720), 도관 (730), 커넥터 (732), 및 도관 (734), 및 커넥터 (736) 를 포함하는 것으로 도시되지만, 유체 커넥터의 다른 구성들이 고려된다.
이제 도 9a 및 도 9b를 참조하면, 플레이트 (700) 의 예들이 도시된다. 도 9a에서, 플레이트 (700) 의 상부 표면 (704) 은 일반적으로 원형 단면 및 플레이트 (700) 의 중심에 배열된 센터링 보어 (710) 를 갖는 것으로 도시된다. 센터링 보어 (710) 는 센터링 보어 (710) 로부터 방사상 내측으로 연장하는 하나 이상의 돌출부들 (740) 을 포함한다. 돌출부들 (740) 은 플레이트 (700) 와 스템부 (672) 사이에 균일한 공간을 제공한다. 도 9b에서, 플레이트 (700) 의 하단 표면 (714) 은 프로세싱 챔버의 상단에 대해 아래쪽으로 연장하는 돌출부들 (744) 을 포함하는 것으로 도시된다. 돌출부들 (744) 은 플레이트 (700) 의 하단 표면 (714) 과 샤워헤드 (670) 의 헤드부 (674) 의 상부 표면 사이에 균일한 공간을 제공한다. RF 절연/억제 디바이스들은, 샤워헤드 뒤의 구역에서 기생 플라즈마 생성 기회 또는 정도를 더 감소시키도록 도울 수도 있는, 샤워헤드 뒤 캐비티에서 전기장을 감소시킬 수도 있다. 예를 들어, 돌출부들 (740 및 744) 은 -예를 들어 대략 3 ㎜ 이하의 공간이 채용된다면, 기생 플라즈마 생성을 감소시키기 위해 충분히 가까운 공간을 제공할 수도 있다. 통상적인 프로세스 조건들에 대한, 이러한 공간은 플라즈마 시스들 (2개의 플라즈마 시스보다 작은 길이) 과 함께 형성하도록 플라즈마에 대한 불충분한 공간을 발생시킨다. 플라즈마의 형성은 플라즈마 밀도, 플라즈마 전자 온도, 및 시스에 걸친 전압에 영향을 받을 수도 있다. 물론, 상기에 상세히 논의된 바와 같이, 2차 퍼지 가스로서 O2의 사용은 또한 기생 플라즈마 생성을 방지/최소화하기 위한 효과적인 기법으로서 작용한다.
시스템 제어기들
도 2는 또한 프로세스 툴 (200) 및 이의 프로세스 스테이션들의 프로세스 조건들 및 하드웨어 상태들을 제어하기 위해 채택된 시스템 제어기 (250) 의 실시예를 도시한다. 시스템 제어기 (250) 는 하나 이상의 메모리 디바이스들 (256), 하나 이상의 대용량 저장 디바이스들 (254), 및 하나 이상의 프로세서들 (252) 을 포함할 수도 있다. 프로세서 (252) 는 하나 이상의 CPU들, ASIC들, 범용 컴퓨터(들), 및/또는 특수 목적 컴퓨터(들), 하나 이상의 아날로그 및/또는 디지털 입력/출력 접속부(들), 하나 이상의 스텝퍼 모터 제어기 보드(들) 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (250) 는 프로세스 툴의 개별 프로세스 스테이션들의 동작들을 포함하는, 프로세스 툴 (200) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (250) 는 프로세서 (252) 상에서 머신 판독가능 시스템 제어 인스트럭션들 (258) 을 실행할 수도 있다 -일부 구현예들에서, 시스템 제어 인스트럭션들 (258) 은 대용량 저장 디바이스 (254) 로부터 메모리 디바이스 (256) 내로 로딩된다. 시스템 제어 인스트럭션들 (258) 은 타이밍, 가스상 및 액체 반응물질들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 목표 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척, 및/또는 서셉터 위치, 및 프로세스 툴 (200) 에 의해 수행된 특정한 프로세스의 또 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 이들 프로세스들은 이로 제한되는 것은 아니지만, 기판들 상의 막의 증착과 관련된 프로세스들을 포함하는, 다양한 타입들의 프로세스들을 포함할 수도 있다. 시스템 제어 인스트럭션들 (258) 은 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 인스트럭션들 (258) 은 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 인스트럭션들 (258) 은 소프트웨어로 구현되고, 다른 실시예들에서, 인스트럭션들은 하드웨어로 구현될 수도 있다 -예를 들어, ASIC (application specific integrated circuit) 내에 로직으로서 하드코딩되거나, 다른 실시예들에서, 소프트웨어 및 하드웨어의 조합으로서 구현된다.
일부 실시예들에서, 시스템 제어 소프트웨어 (258) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 예를 들어, 증착 프로세스 또는 프로세스들의 단계 각각은 시스템 제어기 (250) 에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 예를 들어, 막 증착 프로세스를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 증착 레시피 단계에 포함될 수도 있고, 캡핑 막 증착 단계에 대해서도 유사하다. 일부 실시예들에서, 레시피 단계들은 순차적으로 배열되어, 프로세스 단계를 위한 모든 인스트럭션들이 해당 프로세스 단계와 동시에 실행된다.
시스템 제어기 (250) 와 연관된 대용량 저장 디바이스 (254) 및/또는 메모리 디바이스 (256) 에 저장된 다른 컴퓨터 판독가능 인스트럭션들 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (218) 상에 기판을 로딩하고 기판과 프로세스 툴 (200) 의 다른 부분들 사이의 공간을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 인스트럭션들을 포함할 수도 있다. 포지셔닝 프로그램은 기판 상에 막을 증착하기 위해 필요한 반응 챔버 안 및 밖으로 기판들을 적절히 이동시키기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨들 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택적으로 이들 볼륨들 내로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 기판들 상의 막 증착 동안 프로세싱 챔버 내의 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨(들) 내로 특정한 가스들을 도입하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 증착되는 막의 조성에 따라, 이들 가스들을 동일한 레이트로, 동일한 지속기간들 동안 또는 상이한 레이트들로 및/또는 상이한 지속기간들 동안 전달하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 가열된 주입 모듈 내에서 헬륨 또는 일부 다른 캐리어 가스의 존재 시 액체 반응물질을 원자화/증기화하기 위한 인스트럭션들을 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 유동, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 압력 제어 프로그램은 기판들 상의 다양한 유형들의 막 증착 동안 동일하거나 상이한 압력들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
히터 제어 프로그램은 기판들을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 대안적으로 또는 부가적으로, 히터 제어 프로그램은 기판으로 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다. 히터 제어 프로그램은 기판들 상의 다양한 유형들의 막 증착 동안 반응 챔버 및/또는 프로세스 스테이션들을 둘러싸는 볼륨들에서 동일하거나 상이한 온도들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 RF 전력 레벨들, 주파수들 및 노출 시간들을 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 기판들 상에 막 증착 동안 동일하거나 상이한 RF 전력 레벨들 및/또는 주파수들 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (250) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (250) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성들 및 플로우 레이트들, 온도들, 압력들, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스들을 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (250) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (2400) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들 (MFC), (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (250) 는 상기 기술된 증착 프로세스들을 구현하기 위한 머신 판독가능 프로그램 인스트럭션들을 제공할 수도 있다. 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인 시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 장치가 본 명세서에 개시된 프로세스들에 따른 동작들을 수행하도록 머신 판독가능 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 본 명세서에 개시된 기판 도핑 프로세스들에 따른 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능, 비일시적인 매체는 시스템 제어기와 커플링될 수도 있다.
상술된 다양한 장치들 및 방법들은 예를 들어 반도체 디바이스들, 디스플레이, LED들, 광전 패널 등의 제조 또는 제작을 위해 리소그래피 패터닝 툴들 및/또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들은 반드시 그러한 것은 아니지만 공통 제조 설비 내에서 함께 사용 또는 수행될 수 있다.
막 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴을 사용하여서 실현되는 다음의 동작들 중 몇몇 또는 모두를 포함하며, 이 동작들은 (1) 스핀-온 또는 스프레이-온 툴을 사용하여, 예를 들어 그 상에 형성된 실리콘 질화물 막을 갖는 기판과 같은 기판 상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 또는 퍼니스 또는 또 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 동작, (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 레지스트를 현상하는 동작, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여 레지스트 패턴을 그 아래의 막 또는 기판에 전사하는 동작 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼 (stripper) 와 같은 툴을 사용하여 레지스트를 제거하는 동작을 포함할 수 있다. 일부 실시예들에서, 애시가능한 하드 마스크층 (예를 들어서, 비정질 탄소 층) 및 또 다른 적합한 하드 마스크 (예를 들어서 반사방지 층) 가 포토레지스트를 도포하기 전에 증착될 수도 있다.
다른 실시예들
앞서 개시된 기법들, 동작들, 프로세스들, 방법들, 시스템들, 장치들, 툴들, 막들, 화학물질들, 및 조성들은 명확성 및 이해를 촉진하기 위한 목적으로 구체적인 실시예들의 맥락에서 상세히 기술되었지만, 본 개시의 정신 및 범위 내에 있는, 전술한 실시예들을 구현하는 많은 대안적인 방식들이 있다는 것이 당업자에게 명백할 것이다. 따라서, 본 명세서에 기술된 실시예들은 개시된 창의적인 개념들을 제한하기 보다는 예시하는 것으로 보여지고, 결국 본 개시의 주제로 향하는 임의의 청구항들의 범위를 과도하게 제한하는 용납할 수 없는 기준으로서 사용되지 않는다.

Claims (16)

  1. 반도체 기판 상에 재료의 막을 증착하기 위한 장치에 있어서,
    프로세싱 챔버;
    상기 프로세싱 챔버 내의 기판 홀더;
    상기 프로세싱 챔버 내로 막 전구체 및 1차 퍼지 가스를 유동시키기 위한 샤워헤드;
    상기 프로세싱 챔버 내로 2차 퍼지 가스를 유동시키기 위한 샤워헤드 칼라;
    상기 샤워헤드를 통한 상기 막 전구체의 유동 및 상기 1차 퍼지 가스의 유동을 제어하기 위한 하나 이상의 1차 유동 밸브들;
    상기 샤워헤드 칼라를 통한 상기 2차 퍼지 가스의 유동을 제어하기 위한 하나 이상의 2차 유동 밸브들;
    상기 프로세싱 챔버로부터 1차 퍼지 가스 및 2차 퍼지 가스를 제거하고, 상기 프로세싱 챔버 내에서 반도체 기판을 둘러싸는 볼륨으로부터 상기 막 전구체를 제거하기 위한 밸브로 동작되는 진공 소스;
    상기 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 플라즈마 생성기; 및
    상기 반도체 기판 상에 재료의 막을 증착하도록 상기 하나 이상의 1차 유동 밸브들, 상기 하나 이상의 2차 유동 밸브들, 상기 진공 소스, 및 상기 플라즈마 생성기를 동작시키기 위한 인스트럭션들을 포함하는 머신 판독가능, 비일시적 매체를 포함하는, 하나 이상의 제어기들을 포함하고,
    상기 인스트럭션들은,
    (a) 상기 프로세싱 챔버 내로 막 전구체를 유동시키도록 상기 1차 유동 밸브(들)를 동작시키기 위한 인스트럭션;
    (b) 흡착 제한된 층을 형성하는 막 전구체가 상기 프로세싱 챔버 내의 상기 기판 상에 흡착하도록 상기 프로세싱 챔버 내의 조건들을 제어하기 위한 인스트럭션;
    (c) 상기 프로세싱 챔버 내로 상기 1차 퍼지 가스를 유동시키기 위해 상기 1차 유동 밸브(들)를 동작시키고 상기 밸브로 동작되는 진공 소스를 배기하여 상기 흡착된 막 전구체를 둘러싸는 볼륨으로부터 적어도 일부의 흡착되지 않은 막 전구체를 제거하기 위해 상기 밸브로 동작되는 진공 소스를 동작시키기 위한 인스트럭션;
    (d) 상기 프로세싱 챔버 내에 플라즈마를 형성하기 위해 상기 플라즈마 생성기를 동작시키기 위한 인스트럭션으로서, 상기 플라즈마는 상기 기판 상에 막 층을 형성하기 위해 상기 흡착된 막 전구체의 반응을 활성화시키는, 상기 플라즈마 생성기를 동작시키기 위한 인스트럭션; 및
    (e) 상기 인스트럭션 (d) 에서 상기 반응을 활성화시키는 동안 상기 프로세싱 챔버 내로 상기 2차 퍼지 가스를 유동시키기 위해 상기 2차 유동 밸브(들)를 동작시키기 위한 인스트럭션을 포함하고, 상기 2차 퍼지 가스는, O2의 이온화 에너지 보다 크거나 같은 이온화 에너지, 또는 O2의 분리 에너지보다 크거나 같은 분리 에너지, 또는 O2의 이온화 에너지 및 분리 에너지 각각보다 크거나 같은 이온화 에너지 및 분리 에너지 각각을 갖는 화학 종을 포함하는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 샤워헤드는,
    스템부;
    헤드부; 및
    상기 프로세싱 챔버 내로 상기 막 전구체 및 상기 1차 퍼지 가스를 유동시키기 위한 상기 헤드부의 하단 표면의 어퍼처들을 포함하고; 그리고
    상기 샤워헤드 칼라는,
    스템부;
    헤드부; 및
    상기 프로세싱 챔버 내로 상기 2차 퍼지 가스를 유동시키기 위한 상기 스템부의 어퍼처들을 포함하는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  3. 제 2 항에 있어서,
    상기 샤워헤드의 상기 어퍼처들은 홀들이고 상기 샤워헤드 칼라의 상기 어퍼처들은 슬롯들인, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  4. 제 1 항에 있어서,
    상기 1차 퍼지 가스는 불활성 가스를 포함하는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  5. 제 4 항에 있어서,
    상기 1차 퍼지 가스는 Ar 및/또는 N2를 포함하는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  6. 제 1 항에 있어서,
    상기 하나 이상의 제어기들은 상기 인스트럭션 (a) 내지 상기 인스트럭션 (d) 동안 상기 2차 퍼지 가스를 상기 프로세싱 챔버 내로 유동시키도록 상기 2차 유동 밸브(들)를 동작시키기 위한 인스트럭션들을 더 포함하는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  7. 제 1 항에 있어서,
    캐리어 가스의 유동이 상기 인스트럭션 (a) 에서 상기 프로세싱 챔버 내로 상기 막 전구체를 유동시키기 위해 사용되는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  8. 제 7 항에 있어서,
    상기 캐리어 가스는 불활성 가스를 포함하는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  9. 제 8 항에 있어서,
    상기 캐리어 가스는 Ar 및/또는 N2를 포함하는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  10. 제 1 항에 있어서,
    상기 하나 이상의 제어기들은 상기 기판 상에 추가의 막 층(들)을 증착하기 위해 상기 인스트럭션 (a) 내지 상기 인스트럭션 (e) 를 1회 이상 반복하기 위한 인스트럭션들을 더 포함하는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  11. 제 1 항에 있어서,
    상기 하나 이상의 제어기들은 상기 인스트럭션 (a) 동안 시간의 적어도 일부 동안 상기 2차 퍼지 가스를 상기 프로세싱 챔버 내로 유동시키도록 상기 2차 유동 밸브(들)를 동작시키기 위한 인스트럭션들을 더 포함하는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  12. 제 1 항에 있어서,
    상기 하나 이상의 제어기들은 상기 인스트럭션 (a) 내지 상기 인스트럭션 (d) 각각 동안 시간의 적어도 일부 동안 상기 2차 퍼지 가스를 상기 프로세싱 챔버 내로 유동시키도록 상기 2차 유동 밸브(들)를 동작시키기 위한 인스트럭션들을 더 포함하는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  13. 제 1 항에 있어서,
    상기 샤워헤드 칼라는 상기 2차 퍼지 가스로 하여금 상기 기판의 평면에 실질적으로 평행한 방향으로 상기 프로세싱 챔버 내로 유동되게 하도록 구성되는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  14. 제 1 항에 있어서,
    상기 샤워헤드는 상기 1차 퍼지 가스로 하여금 상기 기판의 평면에 실질적으로 수직인 방향으로 상기 프로세싱 챔버 내로 유동되게 하도록 구성되는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  15. 제 1 항에 있어서,
    상기 인스트럭션 (c) 에서 상기 1차 퍼지 가스는 5,000 내지 45,000 sccm의 레이트로 상기 프로세싱 챔버 내로 유동되는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
  16. 제 1 항에 있어서,
    상기 인스트럭션 (e) 에서 상기 2차 퍼지 가스는 1 내지 30,000 sccm의 레이트로 상기 프로세싱 챔버 내로 유동되는, 반도체 기판 상에 재료의 막을 증착하기 위한 장치.
KR1020220128950A 2014-07-30 2022-10-07 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들 KR102563427B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/447,203 US9617638B2 (en) 2014-07-30 2014-07-30 Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US14/447,203 2014-07-30
KR1020150103671A KR102454473B1 (ko) 2014-07-30 2015-07-22 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150103671A Division KR102454473B1 (ko) 2014-07-30 2015-07-22 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들

Publications (2)

Publication Number Publication Date
KR20220141773A KR20220141773A (ko) 2022-10-20
KR102563427B1 true KR102563427B1 (ko) 2023-08-03

Family

ID=55180775

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150103671A KR102454473B1 (ko) 2014-07-30 2015-07-22 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
KR1020220128950A KR102563427B1 (ko) 2014-07-30 2022-10-07 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150103671A KR102454473B1 (ko) 2014-07-30 2015-07-22 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들

Country Status (5)

Country Link
US (2) US9617638B2 (ko)
JP (2) JP6752555B2 (ko)
KR (2) KR102454473B1 (ko)
CN (2) CN105316651B (ko)
TW (2) TWI662149B (ko)

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6685179B2 (ja) * 2016-06-01 2020-04-22 東京エレクトロン株式会社 基板処理方法
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN107552258B (zh) 2016-07-01 2019-06-07 江苏鲁汶仪器有限公司 气体喷射装置
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (ko) 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
DE102017206612A1 (de) * 2017-04-19 2018-10-25 Centrotherm Photovoltaics Ag Verfahren und Vorrichtung zum Ausbilden einer Schicht auf einem Halbleitersubstrat sowie Halbleitersubstrat
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
CN109321894B (zh) * 2017-07-31 2021-06-08 北京北方华创微电子装备有限公司 一种增强清洗效果的沉积***及方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11913113B2 (en) * 2018-08-22 2024-02-27 Lam Research Corporation Method and apparatus for modulating film uniformity
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI812475B (zh) * 2018-09-29 2023-08-11 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US20220005740A1 (en) 2018-11-15 2022-01-06 Lam Research Corporation Atomic layer etch systems for selectively etching with halogen-based compounds
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
CN113597479A (zh) * 2019-03-11 2021-11-02 朗姆研究公司 用于清洁等离子体室的设备
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
WO2020231621A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Methods of reducing chamber residues
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
WO2021011950A1 (en) * 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20230024424A (ko) 2019-08-12 2023-02-20 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP2023518718A (ja) * 2020-03-19 2023-05-08 ラム リサーチ コーポレーション シャワーヘッドパージカラー
TWI730699B (zh) * 2020-03-27 2021-06-11 先豐通訊股份有限公司 噴盤檢測系統及其檢測方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11776788B2 (en) * 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116288261A (zh) * 2021-12-07 2023-06-23 拓荆科技股份有限公司 沉积***及方法
US20230313373A1 (en) * 2022-03-30 2023-10-05 Microsoft Technology Licensing, Llc Targeted temporal ald

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000297368A (ja) 1999-04-14 2000-10-24 Canon Inc スパッタ方法及びスパッタ装置
JP2014012891A (ja) 2012-06-25 2014-01-23 Novellus Systems Incorporated 基板領域外の前駆体流およびプラズマを抑制することによる基板処理システム内の寄生成長の抑制

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2075455B (en) 1980-04-30 1984-08-22 Nippon Steel Corp Apparatus and method for supporting a metal strip under a static gas pressure
US5871811A (en) 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
JPH01309973A (ja) * 1988-06-07 1989-12-14 Fujitsu Ltd 薄膜形成装置
JP3422583B2 (ja) * 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5892235A (en) 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
DE19852552C2 (de) 1998-11-13 2000-10-05 Daimler Chrysler Ag Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US20020104556A1 (en) 2001-02-05 2002-08-08 Suraj Puri Controlled fluid flow and fluid mix system for treating objects
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6866255B2 (en) 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
EP1613792B1 (en) * 2003-03-14 2014-01-01 Genus, Inc. Methods and apparatus for atomic layer deposition
JP2005142355A (ja) 2003-11-06 2005-06-02 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
KR100616486B1 (ko) * 2004-02-09 2006-08-28 백용구 독립적으로 가스가 흐르는 독립분리셀을 이용한원자층박막 증착장치 및 증착방법
KR100673979B1 (ko) 2005-03-17 2007-01-24 안강호 초미립자 제조장치 및 그 방법
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8409351B2 (en) 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
KR100923453B1 (ko) * 2007-09-21 2009-10-27 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
KR100891035B1 (ko) 2007-11-05 2009-03-31 주식회사 계명엔지니어링 체크밸브형 밀폐커버를 포함한 부단수 공법용 활정자관
KR101417728B1 (ko) * 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US20090270849A1 (en) 2008-03-17 2009-10-29 Arqos Surgical Inc. Electrosurgical Device and Method
DE102008049494A1 (de) 2008-09-27 2010-04-08 Xtreme Technologies Gmbh Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen
CN102087955B (zh) * 2009-12-04 2012-10-31 中芯国际集成电路制造(上海)有限公司 改善等离子体工艺中反应腔室内部颗粒状况的方法
CN102136410B (zh) * 2010-01-27 2013-04-10 中芯国际集成电路制造(上海)有限公司 用于半导体工艺腔的清洁方法
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
KR101772723B1 (ko) * 2010-06-28 2017-08-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
US20120043198A1 (en) 2010-08-18 2012-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
NL2006962C2 (nl) 2011-06-17 2012-12-18 Draka Comteq Bv Inrichting en werkwijze voor het vervaardigen van een optische voorvorm.
US10132008B2 (en) 2012-02-07 2018-11-20 Mitsubishi Chemical Corporation Horizontal heat treatment device
JP5953994B2 (ja) 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
US20140044889A1 (en) 2012-08-10 2014-02-13 Globalfoundries Inc. Methods of making stressed material layers and a system for forming such layers
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
JP6287858B2 (ja) * 2012-12-14 2018-03-07 コニカミノルタ株式会社 ガスバリア性フィルム、その製造方法、およびこれを用いた電子デバイス
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
TWI624560B (zh) 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150030766A1 (en) 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
TWI717610B (zh) 2013-08-16 2021-02-01 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
CN105765697B (zh) 2013-11-26 2020-03-17 应用材料公司 用于批处理的倾斜板及其使用方法
JP6616070B2 (ja) 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
WO2015103358A1 (en) 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
KR102135740B1 (ko) 2014-02-27 2020-07-20 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
TW201610215A (zh) 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
US9765434B2 (en) 2014-04-18 2017-09-19 Applied Materials, Inc. Apparatus for susceptor temperature verification and methods of use
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US10273578B2 (en) 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US20160138160A1 (en) 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000297368A (ja) 1999-04-14 2000-10-24 Canon Inc スパッタ方法及びスパッタ装置
JP2014012891A (ja) 2012-06-25 2014-01-23 Novellus Systems Incorporated 基板領域外の前駆体流およびプラズマを抑制することによる基板処理システム内の寄生成長の抑制

Also Published As

Publication number Publication date
JP6752555B2 (ja) 2020-09-09
US9617638B2 (en) 2017-04-11
KR20220141773A (ko) 2022-10-20
US20160035566A1 (en) 2016-02-04
CN109913852B (zh) 2021-04-20
US10407773B2 (en) 2019-09-10
TW201923141A (zh) 2019-06-16
KR20160017610A (ko) 2016-02-16
JP7194713B2 (ja) 2022-12-22
KR102454473B1 (ko) 2022-10-12
TW201617473A (zh) 2016-05-16
CN105316651A (zh) 2016-02-10
CN109913852A (zh) 2019-06-21
US20170167017A1 (en) 2017-06-15
JP2016036020A (ja) 2016-03-17
JP2020191474A (ja) 2020-11-26
CN105316651B (zh) 2018-12-07
TWI662149B (zh) 2019-06-11
TWI676703B (zh) 2019-11-11

Similar Documents

Publication Publication Date Title
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US10903071B2 (en) Selective deposition of silicon oxide
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
KR102635018B1 (ko) 대체적 ald 반응기들 내에서 에지 균일도 조정을 위한 조성 매칭된 커튼 가스 혼합물들
KR102218085B1 (ko) 갭충진을 위한 컨포멀 막 증착

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant