TW201528346A - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TW201528346A
TW201528346A TW103100832A TW103100832A TW201528346A TW 201528346 A TW201528346 A TW 201528346A TW 103100832 A TW103100832 A TW 103100832A TW 103100832 A TW103100832 A TW 103100832A TW 201528346 A TW201528346 A TW 201528346A
Authority
TW
Taiwan
Prior art keywords
layer
substrate
region
gate structure
gate
Prior art date
Application number
TW103100832A
Other languages
English (en)
Other versions
TWI538024B (zh
Inventor
Ta-Kang Chu
Hong-Chi Chen
Cheng-Ming Yih
Original Assignee
Macronix Int Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix Int Co Ltd filed Critical Macronix Int Co Ltd
Priority to TW103100832A priority Critical patent/TWI538024B/zh
Priority to US14/278,953 priority patent/US20150194314A1/en
Publication of TW201528346A publication Critical patent/TW201528346A/zh
Application granted granted Critical
Publication of TWI538024B publication Critical patent/TWI538024B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/44Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with a control gate layer also being used as part of the peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

一種半導體元件的製造方法。提供具有第一區與第二區的基底。第一區的基底上已形成多個堆疊閘極結構。各堆疊閘極結構包括穿隧介電層、電荷儲存層、閘間介電層、控制閘極,且相鄰的兩個堆疊閘極結構之間具有間隙。第二區的基底上已形成至少一閘極結構。在基底上共形地形成襯層。在第二區中形成覆蓋襯層的介電層。在閘極結構的頂部及閘極結構的兩側的基底上形成金屬矽化物層。進行接觸窗製程,以形成連接至該金屬矽化物層的多個接觸窗。

Description

半導體元件及其製造方法
本發明是有關於一種半導體元件及其製造方法,且特別是有關於一種記憶體及其製造方法。
一般來說,隨著記憶體的尺寸逐漸縮小,為了克服愈來愈小的線寬以及防止接觸窗發生對準失誤(misalignment),會採用自對準接觸窗(self-aligned contact,SAC)製程。
然而,如何將記憶胞區中的自對準接觸窗製程與周邊電路區中的金屬矽化物製程有效整合實已成目前亟欲解決的課題。
本發明提供一種半導體元件的製造方法,其可使得自對準接觸窗製程與自動對準金屬矽化物製程整合,且製造出在周邊電路區中具有金屬矽化物層的半導體元件。
本發明的半導體元件的製造方法包括以下步驟。提供基底,該基底具有第一區與第二區,其中第一區的基底上已形成多個堆疊閘極結構,各該堆疊閘極結構包括穿隧介電層、電荷儲存層、閘間介電層、控制閘極,且相鄰的兩個堆疊閘極結構之間具有間隙,以及第二區的基底上已形成至少一閘極結構。在基底上共形地形成襯層。在第二區中形成覆蓋襯層的介電層。在閘極結構的頂部及閘極結構的兩側的基底上形成金屬矽化物層。進行接觸窗製程,以形成連接至該金屬矽化物層的多個接觸窗。
在本發明的一實施例中,上述的襯層包括氧化矽層/氮化矽層/氧化矽層(ONO)的多層結構。
在本發明的一實施例中,在上述閘極結構的頂部及閘極結構的兩側的基底上形成金屬矽化物層之前更包括以下步驟。移除部分介電層及襯層,以在基底上形成間隙壁以及多個開口。
本發明的半導體元件包括基底、多個堆疊閘極結構、襯層、至少一閘極結構、金屬矽化物層以及多個接觸窗。基底具有括第一區與第二區。堆疊閘極結構配置在第一區的基底上,其中堆疊閘極結構包括穿隧介電層、電荷儲存層、阻障介電層、控制閘極,且相鄰的兩個堆疊閘極結構之間具有間隙。襯層配置在堆疊閘極結構的側壁上。閘極結構配置在第二區的基底上。金屬矽化物層配置在閘極結構的頂部以及閘極結構的兩側的基底上。接觸窗連接至金屬矽化物層接觸。
在本發明的一實施例中,上述的襯層包括氧化矽層/氮化矽層/氧化矽層(ONO)的多層結構。
在本發明的一實施例中,上述的半導體元件更包括層間介電層,其配置在第二區的基底上且覆蓋閘極結構,其中層間介電層中具有多個接觸窗開口。
本發明的半導體元件包括基底、多個第一閘極結構、襯層、至少一第二閘極結構以及多個接觸窗。基底具有第一區與第二區。第一閘極結構配置在第一區的基底上,其中第一閘極結構包括穿隧介電層、電荷儲存層、閘間介電層、控制閘極,且相鄰的兩個堆疊閘極結構之間具有間隙。襯層配置在第一閘極結構的側壁上。第二閘極結構配置在第二區的基底上。接觸窗連接至第二閘極結構的頂部及第二閘極結構的兩側的基底。
在本發明的一實施例中,上述的半導體元件更包括金屬矽化物層,其配置在第二閘極結構的頂部及第二閘極結構的兩側的基底上。
在本發明的一實施例中,位在上述第一區與上述第二區之交界處的第一閘極結構的控制閘極呈階梯狀。
在本發明的一實施例中,上述的襯層包括氧化矽層/氮化矽層/氧化矽層(ONO)的多層結構。
基於上述,本發明所提出的半導體元件的製造方法能夠將自對準接觸窗製程與自動對準金屬矽化物製程整合,以製造出在第一區中具有自對準接觸窗,且在第二區中具有金屬矽化物層的半導體元件。
為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。
圖1A至圖1N是依照本發明一實施方式所繪示的一種半導體元件的製作方法的流程剖面示意圖。
請參照圖1A,首先,提供基底100,基底100具有第一區101和第二區102。基底100可以是矽基底。第一區101例如是記憶胞區,而第二區102例如是周邊電路區。
第一區101的基底100上已經形成有多個堆疊閘極結構110,其中相鄰的兩個堆疊閘極結構110之間具有間隙111,以及第一區101的基底100中已經形成有多個摻雜區112,摻雜區112位在相鄰的兩個堆疊閘極結構110之間。第二區102的基底100上已經形成有閘介電材料層107以及導體材料層108。另外,基底100上已經形成有硬罩幕層113,其中硬罩幕層113的一部分是位在堆疊閘極結構110上,而另一部分是位在導體材料層108上。
堆疊閘極結構110可以是非揮發性記憶元件的閘極結構,例如是快閃記憶元件的閘極結構。在本實施例方式中,堆疊閘極結構110包括依序堆疊在基底100上的穿隧介電層103、導體層104、阻障介電層105及導體層106。穿隧介電層103的材料例如是氧化矽。導體層104可作為電荷儲存層,電荷儲存層可為浮置閘極或電荷補捉(charge trapping)層。在電荷儲存層為浮置閘極的情況下,其材料例如是摻雜多晶矽;在電荷儲存層為電荷補捉層的情況下,其材料例如是氮化矽。阻障介電層105例如是氧化矽層/氮化矽層/氧化矽層(ONO)的多層結構。在電荷儲存層為浮置閘極的情況下,阻障介電層105可作為閘間介電層。導體層106可作為控制閘極,其材料例如是摻雜多晶矽。閘介電材料層107的材料例如是氧化矽。導體材料層108的材料例如是摻雜多晶矽。硬罩幕層113的材料例如是氧化矽或氮化矽。
堆疊閘極結構110、閘介電材料層107以及導體材料層108的形成方法例如是於第一區101和第二區102的基底100上分別進行沉積製程以及圖案化製程而形成。摻雜區112的形成方法例如是以硬罩幕層113與堆疊閘極結構110為罩幕,進行離子植入製程。
值得說明的是,第一區101中的導體層106與第二區102中的導體材料層108是由同一材料層所形成,因此在進行圖案化步驟之後,位在第一區101與第二區102之交界處的堆疊閘極結構110的導體層106(以框線A表示)呈階梯狀。也就是說,前述導體層106(以框線A表示)會向第二區102延伸且與基底100接觸。
請參照圖1B,接著,移除第二區102中的硬罩幕層113,以形成僅位在第一區101中的硬罩幕層114。將第二區102中的硬罩幕層113移除的方法包括先在基底100上形成圖案化光阻層(未繪示),再以圖案化光阻層為罩幕,進行乾式蝕刻製程來移除未被圖案化光阻層覆蓋的硬罩幕層113,接著再將圖案化光阻層移除。
請參照圖1C,接著,移除部分閘介電材料層107以及導體材料層108,以於第二區102的基底100上形成包括依序堆疊的閘介電層115及導體層116的閘極結構118。閘極結構118可以是互補式金氧半導體(CMOS)元件的閘極結構。閘介電層115的材料例如是氧化矽。導體層116可作為閘極,其材料例如是摻雜多晶矽。部分閘介電材料層107以及導體材料層108的移除方法包括先在基底100上形成圖案化光阻層(未繪示),再以圖案化光阻層為罩幕,進行乾式蝕刻製程來移除未被圖案化光阻層覆蓋的閘介電材料層107以及導體材料層108,接著再將圖案化光阻層移除。
在圖1C中是以於第二區102的基底100上形成一個閘極結構118為例來說明,但本發明並不以此為限。在其他的實施例中,第二區102的基底100上可形成多數個閘極結構118。
然後,於形成閘極結構118之後,進行離子植入步驟,於閘極結構118的兩側的基底100中形成多個淺摻雜區117。
請參照圖1D,接著,於基底100上共形地形成襯層119,以覆蓋堆疊閘極結構110及閘極結構118。在本實施方式中,襯層119例如是氧化矽層120/氮化矽層121/氧化矽層122(ONO)的多層結構,且其形成方法包括藉由化學氣相沉積法依序於基底100上沉積氧化矽層120、氮化矽層121及氧化矽層122。另外,襯層119對犧牲層124具有高蝕刻選擇比。
然後,在第一區101中形成填滿間隙111的犧牲層124。犧牲層124的材料例如是多晶矽。犧牲層124的形成方法包括先在基底100上共形地形成一犧牲材料層(未繪示),接著於犧牲材料層上形成圖案化光阻層(未繪示),再以圖案化光阻層為罩幕,進行乾式蝕刻製程來移除未被圖案化光阻層覆蓋的犧牲材料層,接著再將圖案化光阻層移除。詳細而言,由於襯層119對犧牲層124具有高蝕刻選擇比,因此進行乾式蝕刻製程來移除未被圖案化光阻層覆蓋的犧牲材料層時,可有效移除第二區102中的犧牲材料層。
請參照圖1E,於基底100上共形地形成覆蓋襯層119的介電層125。介電層125的材料例如是氧化矽,以及介電層125的形成方法例如是化學氣相沉積法。
請參照圖1F,接著,移除部分介電層125及襯層119,以在基底100上形成間隙壁126以及多個開口127,其中開口127暴露出閘極結構118的兩側的基底100。詳細而言,間隙壁126以及開口127的形成方法包括以下步驟。首先,進行回蝕刻來移除部分介電層125,以在閘極結構118的側壁上間隙壁126。然後,以間隙壁126為罩幕,移除部分襯層119,以暴露出閘極結構118的頂部及形成暴露出閘極結構118的兩側的基底100的開口127。另外,在形成間隙壁126的步驟中,更可同時在由線框B1、B2及B3所表示的區域內形成間隙壁結構。
接著,同樣以間隙壁126為罩幕,進行離子植入步驟,於開口127內已暴露出的基底100中形成多個摻雜區128。另外,在形成摻雜區128的步驟中,更可同時對已暴露出的閘極結構118的閘極(即導電層116)進行離子植入步驟。
請參照圖1G,接著,在第一區101中形成覆蓋犧牲層124的介電層130。在本實施方式中,介電層130例如是氧化物層129及氮化物層131的複合介電層,其中氧化物層129位在犧牲層124上,而氮化物層131位在氧化物層129上。氧化物層129的材料例如是氧化矽,及氮化物層131的材料例如是氮化矽。介電層130的形成方法包括先在犧牲層124上藉由化學氣相沉積法依序沉積氧化物材料層(未繪示)及氮化物材料層(未繪示),接著在氮化物材料層上形成圖案化光阻層(未繪示),再以圖案化光阻層為罩幕並以氧化物材料層為終止層,進行乾式蝕刻製程來移除未被圖案化光阻層覆蓋的氮化物材料層,以形成氮化物層131,之後將圖案化光阻層移除,最後再進行濕式蝕刻製程來移除未被氮化物層131覆蓋的氧化物材料層,以形成氧化物層129。在上述的濕式蝕刻製程中,可利用氫氟酸溶液作為蝕刻液。
此外,由於氮化物層131在濕式蝕刻製程中的抗蝕刻特性高於氧化物層129在濕式蝕刻製程中的抗蝕刻特性,故能夠利用濕式蝕刻製程移除未被氮化物層131覆蓋的氧化物材料層。另外,在本實施方式中,介電層130可作為自對準金屬矽化物阻擋層(Self-Aligned Salicide Block Layer,SAB)或作為抵抗保護氧化層(Resistive Protection Oxide, RPO)的膜層。
請參照圖1H,接著,在閘極結構118的頂部及開口127內已暴露出的基底100上形成金屬矽化物層132,從而降低元件的阻值並提高導電性。金屬矽化物層132的材質例如是矽化鈦、矽化鈷、矽化鎳、矽化鈀、矽化鉑或矽化鉬,而其形成方法例如是自對準金屬矽化物製程。詳細而言,由於第二區102中的硬罩幕層113已移除(圖1B)以及犧牲層124上形成有作為自行對準金屬矽化物阻擋層或抵抗保護氧化層的介電層130,使得金屬矽化物層132能夠僅形成在開口127內已暴露出的基底100上及閘極結構118的導體層116上。也就是說,藉由介電層130覆蓋犧牲層124,可使得在第一區101中不會形成金屬矽化物層132,以避免在材料為多晶矽的犧牲層124上形成金屬矽化物,進而防止在後續製程中造成蝕刻干擾的問題。
請參照圖1I,接著,在基底100上共形地形成蝕刻終止層134。蝕刻終止層134的材料例如是氮化矽,其形成方法例如是化學氣相沉積法。在本實施方式中,蝕刻終止層134覆蓋第二區102中的閘極結構118以及間隙壁126,同時也覆蓋第一區101中的犧牲層124。
然後,在基底100上形成層間介電層(ILD)136,以至少覆蓋第二區102中的蝕刻終止層134。層間介電層136的材料例如是氧化矽。層間介電層136的形成方法包括先在基底100上形成全面覆蓋第一區101與第二區102的介電材料層(未繪示),接著以第一區101中的蝕刻終止層134作為終止層,對該介電材料層進行平坦化製程而獲得層間介電層136,其中層間介電層136的頂面與蝕刻終止層134的頂面大致位在同一平面上。上述平坦化製程例如是化學機械研磨製程。
請參照圖1J,接著,移除部分蝕刻終止層134、部分介電層130及部分犧牲層124,以在基底100上形成圖案化蝕刻終止層134a、圖案化介電層130a(亦即包括氧化物層129a及氮化物層131a的複合介電層)、圖案化犧牲層124a及暴露出堆疊閘極結構110上方的襯層119的多個開口133。部分蝕刻終止層134、介電層130及犧牲層124的移除方法包括先在基底100上形成圖案化光阻層(未繪示),再以圖案化光阻層為罩幕,進行乾式蝕刻製程來移除未被圖案化光阻層覆蓋的蝕刻終止層134、介電層130及犧牲層124,接著再將圖案化光阻層移除。
另外,本實施方式中,在形成圖案化蝕刻終止層134a、圖案化介電層130a、圖案化犧牲層124a及開口133時,由於襯層119對犧牲層124具有高蝕刻選擇比,因此能使用較佳的蝕刻條件來移除部分犧牲層124,以得到具有良好垂直輪廓(vertical profile)的開口133。
請參照圖1K,接著,在開口133中形成填滿開口133的多個隔離層138。隔離層138的材料例如是氧化矽。隔離層138的形成方法包括先在基底100上法形成全面覆蓋第一區101與第二區102的隔離材料層(未繪示),接著以第一區101中的蝕刻終止層134a作為終止層,對該隔離材料層進行平坦化製程而獲得隔離層138。
另外,由於圖案化蝕刻終止層134a、圖案化介電層130a、圖案化犧牲層124a對應後續製程中所欲形成之接觸窗開口的位置,故隔離層138可用以隔離各個接觸窗開口且可作為後續製程中用以定義接觸窗開口的罩幕層。
請參照圖1L,接著,移除部分圖案化蝕刻終止層134a、部分圖案化介電層130a、圖案化犧牲層124a以及部分襯層119,以在第一區101中形成暴露出摻雜區112的多個接觸窗開口137。接觸窗開口137的形成方法例如是自對準接觸窗製程。詳細而言,接觸窗開口137的形成方法包括以隔離層138及層間介電層136為罩幕,對圖案化蝕刻終止層134a、圖案化介電層130a、圖案化犧牲層124a及襯層119進行乾式蝕刻製程,以移除部分圖案化蝕刻終止層134a、部分圖案化介電層130a、圖案化犧牲層124a以及部分襯層119。
另外,在本實施方式中,移除部分襯層119包括移除位在摻雜區112上的襯層119,以及移除位在堆疊閘極結構110上方且未被隔離層138覆蓋的襯層119。因此,在第一區101中,襯層119的一部分會位在堆疊閘極結構110的側壁上,而另一部分會位在堆疊閘極結構110的上方。然而,本發明並不限於此。在其他實施方式中,隔離層138可能會完全覆蓋位在堆疊閘極結構110上方的襯層119,因此位在堆疊閘極結構110上方的襯層119不會被移除。
另外,在移除部分圖案化蝕刻終止層134a、部分圖案化介電層130a、圖案化犧牲層124a以及部分襯層119後,相鄰的兩個隔離層138之間形成開口135,且相鄰的兩個襯層119之間的間隙111與開口135形成接觸窗開口137。
另外,由於襯層119對圖案化犧牲層124a具有高蝕刻選擇比,因此能使用較佳的蝕刻條件來移除部分圖案化犧牲層124a,以得到具有良好垂直輪廓的接觸窗開口137,以及因此在移除部分圖案化犧牲層124a以形成接觸窗開口137時,即使發生對準失誤的情況,也可以藉由位在堆疊閘極結構110側壁上的襯層119防止堆疊閘極結構110受到損傷。
請參照圖1M,接著,移除部分層間介電層136及圖案化蝕刻終止層134a,以在第二區102中形成多個接觸窗開口139,其中接觸窗開口139暴露出金屬矽化物層132。部分層間介電層136及圖案化蝕刻終止層134a的移除方法例如是乾式蝕刻製程。圖案化蝕刻終止層134a可防止閘極結構118在形成接觸窗開口139受到損傷。原因在於,如果在第二區102的基底100上沒有形成覆蓋閘極結構118的圖案化蝕刻終止層134a,在接觸窗開口139還未暴露出位於閘極結構118兩側的金屬矽化物層132時,閘極結構118上方的接觸窗開口139已暴露出位於閘極結構118上的金屬矽化物層132。此時,若是繼續進行蝕刻製程以暴露出位於閘極結構118兩側的金屬矽化物層132,則會對閘極結構118造成損傷。
然而,在本實施方式中,由於第二區102的基底100上全面覆蓋了圖案化蝕刻終止層134a,因此能夠先以圖案化蝕刻終止層134a作為終止層,對層間介電層136進行乾式蝕刻製程,再對圖案化蝕刻終止層134a進行蝕刻以形成接觸窗開口139。
請參照圖1N,接著,在接觸窗開口137的表面上更包括形成阻障層141,且在接觸窗開口139的表面上更包括形成阻障層143。阻障層141及阻障層143可為同時形成,且阻障層141及阻障層143的材料例如是鈦/氮化鈦(Ti/TiN)、氮化鎢、鉭或是氮化鉭。然後,在接觸窗開口137及接觸窗開口139中分別形成多個接觸窗140及多個接觸窗142,其中接觸窗140與摻雜區112接觸,且接觸窗142與金屬矽化物層132接觸。接觸窗開口137及接觸窗開口139可為同時形成,且接觸窗140及接觸窗142的材料例如是鎢、銅、鋁或其他合適的金屬。阻障層141、阻障層143、接觸窗140及接觸窗142的形成方法可包括以下步驟。首先,於基底100上共形地形成阻障材料層(未繪示),其形成方法例如是化學氣相沈積法。然後,於阻障材料層上形成導體材料層(未繪示),其形成方法例如是化學氣相沉積法。接著,移除接觸窗開口137及接觸窗開口139外的阻障材料層及導體材料層,以於接觸窗開口137及接觸窗開口139中形成阻障層141、阻障層143、接觸窗140及接觸窗142。位於接觸窗開口137及接觸窗開口139外的阻障材料層及導體材料層的移除方法例如是化學機械研磨法。
另外,在本實施方式中,接觸窗140包括位在間隙111中的第一部分140a以及位在開口135中的第二部分140b,且第二部分140b的寬度Wb大於第一部分140a的寬度Wa。然而,本發明並不以此為限。在其他實施方式中,第二部分140b的寬度Wb可透過調整隔離層138的尺寸來控制。
另外,在本實施方式中,由於襯層119對圖案化犧牲層124a具有高蝕刻選擇比,使得堆疊閘極結構110側壁上的襯層119能保持完好的結構。如此一來,堆疊閘極結構110側壁上的襯層119能夠避免堆疊閘極結構110之導體層104與接觸窗140之間發生漏電流的問題,並能為堆疊閘極結構110提供良好的電性絕緣。
基於上述實施方式可知,本發明提出的半導體元件的製造方法能夠將自對準接觸窗製程與自動對準金屬矽化物製程整合,使得在第一區中形成接觸窗的情況下,第二區中也能夠形成金屬矽化物層。
此外,藉由上述實施方式可完成本發明所提出的半導體元件10。接著,在下文中,將參照圖1N對本發明一實施方式提出的半導體元件10的結構進行說明。
首先,請再次參照圖1N,半導體元件10包括基底100、多個堆疊閘極結構110、襯層119、多個接觸窗140、至少一閘極結構118以及多個接觸窗142。基底100具有第一區101與第二區102。堆疊閘極結構110配置在第一區101的基底100上,且相鄰的兩個堆疊閘極結構110之間具有間隙111。各堆疊閘極結構110包括穿隧介電層103、導體層104、阻障介電層105、導體層106。在一實施例中,導體層104作為電荷儲存層,電荷儲存層可為浮置閘極或電荷補捉層。在一實施例中,電荷儲存層為浮置閘極的情況下,阻障介電層105可作為閘間介電層。在一實施例中,導體層106作為控制閘極。襯層119配置在堆疊閘極結構110的側壁上。接觸窗140配置在間隙111中。閘極結構118配置在第二區102的基底100上。接觸窗142連接至閘極結構118的頂部及閘極結構118的兩側的基底100。
此外,在半導體元件10中,在閘極結構118的頂部及閘極結構118的兩側的基底100上更包括金屬矽化物層132。襯層119更包括氧化矽層120/氮化矽層121/氧化矽層122的多層結構。另外,半導體元件10更包括隔離層138,其配置在堆疊閘極結構110上,且相鄰的兩個隔離層138之間具有開口135。半導體元件10更包括阻障層141,其配置在接觸窗開口140的表面上。半導體元件10更包括層間介電層136,其配置在第二區102的基底100上且覆蓋閘極結構118。層間介電層136中具有接觸窗開口139。半導體元件10更包括阻障層143,其配置在接觸窗開口139的表面上。半導體元件10更包括硬罩幕層114,其配置在堆疊閘極結構110的導體層106上。此外,半導體元件10中各構件的材料、形成方法與功效已於上述實施方式中進行詳盡地說明,故於此不再贅述。
綜上所述,上述實施方式所提出的半導體元件的製造方法能夠將自對準接觸窗製程與自動對準金屬矽化物製程整合,以製造出在第一區中具有接觸窗,且在第二區中具有金屬矽化物層的半導體元件。另外,當半導體元件具有金屬矽化物層時,金屬矽化物層可降低元件的阻值並提高導電性。另外,當襯層為氧化矽層/氮化矽層/氧化矽層的多層結構時,堆疊閘極結構之側壁上的襯層能夠避免浮置閘極與接觸窗之間發生漏電流的問題,並能為堆疊閘極結構提供良好的電性絕緣,以確保半導體元件的品質。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。
10‧‧‧半導體元件
100‧‧‧基底
101‧‧‧第一區
102‧‧‧第二區
103‧‧‧穿隧介電層
104、106、116‧‧‧導體層
105‧‧‧阻障介電層
107‧‧‧閘介電材料層
108‧‧‧導體材料層
110‧‧‧堆疊閘極結構
111‧‧‧間隙
112、128‧‧‧摻雜區
113、114‧‧‧硬罩幕層
115‧‧‧閘介電層
117‧‧‧淺摻雜區
118‧‧‧閘極結構
119‧‧‧襯層
120、122‧‧‧氧化矽層
121‧‧‧氮化矽層
124‧‧‧犧牲層
124a‧‧‧圖案化犧牲層
125、130‧‧‧介電層
126‧‧‧間隙壁
127、133、135‧‧‧開口
129、129a‧‧‧氧化物層
130a‧‧‧圖案化介電層
131、131a‧‧‧氮化物層
132‧‧‧金屬矽化物層
134‧‧‧蝕刻終止層
134a‧‧‧圖案化蝕刻終止層
136‧‧‧層間介電層
137、139‧‧‧接觸窗開口
138‧‧‧隔離層
141、143‧‧‧阻障層
140、142‧‧‧接觸窗
140a‧‧‧第一部分
140b‧‧‧第二部分
A、B1、B2、B3‧‧‧框線
Wa、Wb‧‧‧寬度
圖1A至圖1N是依照本發明一實施方式所繪示的一種半導體元件的製作方法的流程剖面示意圖。
10‧‧‧半導體元件
100‧‧‧基底
101‧‧‧第一區
102‧‧‧第二區
103‧‧‧穿隧介電層
104、106、116‧‧‧導體層
105‧‧‧阻障介電層
110‧‧‧堆疊閘極結構
111‧‧‧間隙
112、128‧‧‧摻雜區
114‧‧‧硬罩幕層
115‧‧‧閘介電層
117‧‧‧淺摻雜區
118‧‧‧閘極結構
119‧‧‧襯層
120、122‧‧‧氧化矽層
121‧‧‧氮化矽層
126‧‧‧間隙壁
129a‧‧‧氧化物層
130a‧‧‧圖案化介電層
131a‧‧‧氮化物層
132‧‧‧金屬矽化物層
134a‧‧‧圖案化蝕刻終止層
135‧‧‧開口
136‧‧‧層間介電層
137、139‧‧‧接觸窗開口
138‧‧‧隔離層
141、143‧‧‧阻障層
140、142‧‧‧接觸窗
140a‧‧‧第一部分
140b‧‧‧第二部分
Wa、Wb‧‧‧寬度

Claims (10)

  1. 一種半導體元件的製造方法,包括: 提供一基底,該基底具有一第一區與一第二區,其中該第一區的該基底上已形成多個堆疊閘極結構,各該堆疊閘極結構包括一穿隧介電層、一電荷儲存層、一閘間介電層、一控制閘極,且相鄰的兩個堆疊閘極結構之間具有一間隙,以及該第二區的該基底上已形成至少一閘極結構; 在該基底上共形地形成一襯層; 在該第二區中形成覆蓋該襯層的一介電層; 在該閘極結構的頂部及該閘極結構的兩側的該基底上形成一金屬矽化物層;以及 進行一接觸窗製程,以形成連接至該金屬矽化物層的多個接觸窗。
  2. 如申請專利範圍第1項所述的半導體元件的製造方法,其中該襯層包括氧化矽層/氮化矽層/氧化矽層(ONO)的多層結構。
  3. 如申請專利範圍第1項所述的半導體元件的製造方法,其中在該閘極結構的頂部及該閘極結構的兩側的該基底上形成該金屬矽化物層之前更包括: 移除部分該介電層及該襯層,以在該基底上形成一間隙壁以及多個開口。
  4. 一種半導體元件,包括: 一基底,該基底具有一第一區與一第二區; 多個堆疊閘極結構,配置在該第一區的該基底上,其中各該堆疊閘極結構包括一穿隧介電層、一電荷儲存層、一阻障介電層、一控制閘極,且相鄰的兩個堆疊閘極結構之間具有一間隙; 一襯層,配置在該些堆疊閘極結構的側壁上; 至少一閘極結構,配置在該第二區的該基底上; 一金屬矽化物層,配置在該閘極結構的頂部以及該閘極結構的兩側的該基底上;以及 多個接觸窗,連接至該金屬矽化物層。
  5. 如申請專利範圍第4項所述的半導體元件,其中該襯層包括氧化矽層/氮化矽層/氧化矽層(ONO)的多層結構。
  6. 如申請專利範圍第4項所述的半導體元件,更包括一層間介電層,配置在該第二區的該基底上且覆蓋該閘極結構,其中該層間介電層中具有多個接觸窗開口。
  7. 一種半導體元件,包括: 一基底,該基底具有一第一區與一第二區; 多個第一閘極結構,配置在該第一區的該基底上,其中各該第一閘極結構包括一穿隧介電層、一電荷儲存層、一閘間介電層、一控制閘極,且相鄰的兩個堆疊閘極結構之間具有一間隙; 一襯層,配置在該些第一閘極結構的側壁上; 至少一第二閘極結構,配置在該第二區的該基底上;以及 多個接觸窗,連接至該第二閘極結構的頂部及該第二閘極結構的兩側的該基底。
  8. 如申請專利範圍第7項所述的半導體元件,更包括一金屬矽化物層,配置在該第二閘極結構的頂部及該第二閘極結構的兩側的該基底上。
  9. 如申請專利範圍第7項所述的半導體元件,其中位在該第一區與該第二區之交界處的該第一閘極結構的該控制閘極呈階梯狀。
  10. 如申請專利範圍第7項所述的半導體元件,其中該襯層包括氧化矽層/氮化矽層/氧化矽層(ONO)的多層結構。
TW103100832A 2014-01-09 2014-01-09 半導體元件及其製造方法 TWI538024B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW103100832A TWI538024B (zh) 2014-01-09 2014-01-09 半導體元件及其製造方法
US14/278,953 US20150194314A1 (en) 2014-01-09 2014-05-15 Semiconductor device and method of fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW103100832A TWI538024B (zh) 2014-01-09 2014-01-09 半導體元件及其製造方法

Publications (2)

Publication Number Publication Date
TW201528346A true TW201528346A (zh) 2015-07-16
TWI538024B TWI538024B (zh) 2016-06-11

Family

ID=53495760

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103100832A TWI538024B (zh) 2014-01-09 2014-01-09 半導體元件及其製造方法

Country Status (2)

Country Link
US (1) US20150194314A1 (zh)
TW (1) TWI538024B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9455403B1 (en) 2015-08-28 2016-09-27 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
TWI562336B (en) * 2015-09-01 2016-12-11 Macronix Int Co Ltd Semiconductor structure and method for manufacturing the same
TWI774410B (zh) * 2021-06-07 2022-08-11 華邦電子股份有限公司 半導體元件及其形成方法
US12040412B2 (en) 2021-07-14 2024-07-16 Winbond Electronics Corp. Semiconductor device and method of forming the same

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102300122B1 (ko) * 2015-02-17 2021-09-09 에스케이하이닉스 주식회사 전하 트랩 불휘발성 메모리소자 및 그 제조방법과, 전하 트랩 불휘발성 메모리소자의 동작방법
TWI659501B (zh) * 2018-04-13 2019-05-11 華邦電子股份有限公司 記憶體裝置及其製造方法
TWI711121B (zh) * 2019-11-26 2020-11-21 華邦電子股份有限公司 半導體結構以及其形成方法
CN113140569B (zh) * 2020-01-20 2024-04-30 华邦电子股份有限公司 存储器装置的制造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW415045B (en) * 1999-08-10 2000-12-11 United Microelectronics Corp Manufacture of embedded flash memory
KR100500448B1 (ko) * 2003-02-06 2005-07-14 삼성전자주식회사 선택적 디스포저블 스페이서 기술을 사용하는 반도체집적회로의 제조방법 및 그에 의해 제조된 반도체 집적회로
CN100429790C (zh) * 2003-03-19 2008-10-29 富士通株式会社 半导体器件及其制造方法
KR100634167B1 (ko) * 2004-02-06 2006-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7071046B2 (en) * 2004-11-18 2006-07-04 United Microelectronics Corp. Method of manufacturing a MOS transistor
US8471324B2 (en) * 2009-09-15 2013-06-25 Macronix International Co., Ltd. Semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9455403B1 (en) 2015-08-28 2016-09-27 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
TWI562336B (en) * 2015-09-01 2016-12-11 Macronix Int Co Ltd Semiconductor structure and method for manufacturing the same
TWI774410B (zh) * 2021-06-07 2022-08-11 華邦電子股份有限公司 半導體元件及其形成方法
US12040412B2 (en) 2021-07-14 2024-07-16 Winbond Electronics Corp. Semiconductor device and method of forming the same

Also Published As

Publication number Publication date
TWI538024B (zh) 2016-06-11
US20150194314A1 (en) 2015-07-09

Similar Documents

Publication Publication Date Title
TWI538024B (zh) 半導體元件及其製造方法
CN110121774B (zh) 形成三维存储设备的栅极结构的方法
CN104701150A (zh) 晶体管的形成方法
US20060234446A1 (en) Non-volatile memory and fabricating method thereof
US11127756B2 (en) Three-dimensional memory device and manufacturing method thereof
JP2013089958A (ja) 不揮発性メモリ装置の製造方法
TWI618195B (zh) 記憶體結構及其製造方法
US10529726B2 (en) Method of manufacturing memory structure
CN111244104A (zh) Sonos存储器及其制作方法
JP2004538643A (ja) アクセスゲートと制御ゲートと電荷蓄積領域とを有するメモリセルを含む不揮発性メモリを備えた半導体装置の製造方法
JP6094023B2 (ja) 半導体装置の製造方法
TWI548036B (zh) 嵌入式記憶元件的製造方法
JP2004538638A (ja) アクセスゲートと制御ゲートと電荷蓄積領域とを有するメモリセルを含む不揮発性メモリを備えた半導体装置の製造方法
TWI685085B (zh) 記憶元件及其製造方法
TWI543304B (zh) 嵌入式記憶元件及其製造方法
CN105514026A (zh) 半导体元件及其制造方法
TWI435416B (zh) 記憶體的製造方法
TWI512900B (zh) 記憶體的製造方法
TWI451533B (zh) 嵌入式快閃記憶體的製造方法
TWI469269B (zh) 嵌入式快閃記憶體之字元線的製造方法
CN106992178B (zh) 存储器元件及其制造方法
TWI588973B (zh) 記憶元件及其製造方法
TW201624622A (zh) 非揮發性記憶胞、nand型非揮發性記憶體及其製造方法
CN111696989B (zh) 存储元件及其制造方法
JP6292281B2 (ja) 半導体装置の製造方法