TW201417209A - 有關半導體處理設備之方法與系統 - Google Patents

有關半導體處理設備之方法與系統 Download PDF

Info

Publication number
TW201417209A
TW201417209A TW102132992A TW102132992A TW201417209A TW 201417209 A TW201417209 A TW 201417209A TW 102132992 A TW102132992 A TW 102132992A TW 102132992 A TW102132992 A TW 102132992A TW 201417209 A TW201417209 A TW 201417209A
Authority
TW
Taiwan
Prior art keywords
processing
robot
wafer
chamber
cluster
Prior art date
Application number
TW102132992A
Other languages
English (en)
Other versions
TWI606539B (zh
Inventor
Benjamin W Mooring
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201417209A publication Critical patent/TW201417209A/zh
Application granted granted Critical
Publication of TWI606539B publication Critical patent/TWI606539B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本發明係為半導體處理設備。至少有部份的例示實施例為系統,該系統包含:一前端機器人,其配置用來從至少一個晶圓載具拉出個別晶圓;一線性機器人,其和前端機器人呈操作關係,該線性機器人配置用來沿著延伸長度路徑來移動晶圓;以及一第一處理群,其和線性機器人呈操作關係。第一處理群可包含:第一處理腔室;第二處理腔室;及配置於第一處理腔室和第二處理腔室間的第一集群機器人。第一集群機器人配置用來從線性機器人傳送晶圓至處理腔室中,並且配置用來從處理腔室中傳送晶圓至線性機器人上。

Description

有關半導體處理設備之方法與系統
本發明係一種關於半導體處理設備之方法與系統。
當半導體元件的關鍵尺寸持續微小化,用來製造半導體元件的處理步驟數目則會增加,且同樣地,處理時間也會增長。此外,客戶正逐漸地要求和主要晶圓處理(如:蝕刻,化學氣相沉積)相關的前置處理和後置處理能力,進一步地增加處理工具必須促成的組態數目。
為了要保持製造設備的產量,可能需要更多的處理腔室。為了提供前置處理和後置處理的需求,在特定的工具上可能需要更廣泛不同形式的腔室。然而,設計和鑑定具有額外處理腔室的新型半導體處理設備是需要漫長和複雜的努力。
說明性的實施例係針對和半導體處理設備相關的系統和相關方法。範例實施例為一系統,該系統包含:前端機器人,其配置成從至少一晶圓載具搬出個別晶圓;線性機器人,其和前端機器人呈操作關係,該前端機器人配置成沿著一延伸長度路徑來移動晶圓,並且,該延伸長度路徑和線性機器人界定了線性機器人和其它機器人之間發生晶圓交換的第一、第二及第三位置;及第一處理群,其在第一位置處和線性機器人呈操作關係)。第一處理群可包含:第一處理腔室;第二處理腔室;第一集群機器人,其配置於第一和第二處理腔室之間。第一集群機器人配置成將晶圓 從線性機器人移動至處理腔室中,以及配置成將晶圓從處理腔室中移動至線性機器人。
另一範例中實施例係為一方法:安裝半導體處理設備,該半導體處理設備包括第一處理群,該第一處理群包含至少兩個處理腔室及配置於該至少兩個處理腔室間的第一集群機器人,第一處理群操作性地連接至線性機器人);藉由線性機器人來傳送晶圓至第一處理群;將第二處理群與該半導體處理設備配置成操作關係,該第二處理群包含至少兩個處理腔室及一個置於至少兩個第二處理群的處理腔室間之第二集群機器人,該第二處理群操作性連接至該線性機器人;藉由線性機器人來傳送晶圓至第一和第二處理群。
100‧‧‧半導體處理設備(系統)
102‧‧‧前端機器人(系統)
104/106‧‧‧晶圓載具
108‧‧‧線性機器人(系統)
109‧‧‧腔室
110‧‧‧晶圓
112/114‧‧‧箭頭
116/118/120‧‧‧位置
122/124‧‧‧負載鎖室
123/125‧‧‧門
130/132/134‧‧‧處理群
136‧‧‧支架
138/140‧‧‧處理腔室
142‧‧‧集群機器人
200‧‧‧機器人
201/202‧‧‧門
203‧‧‧腔室
204‧‧‧位置
206/208‧‧‧集群機器人
210/212/214‧‧‧保養區
400‧‧‧晶圓載具
402/404‧‧‧傳送機器人
406/408/412/414/416‧‧‧位置
407/409/413/415/417‧‧‧門
418/420/422/424/426‧‧‧處理群
430/432‧‧‧集群機器人
500‧‧‧內部空間
502/504‧‧‧剖面
506/510/512/550‧‧‧孔徑
511/515‧‧‧側壁
513‧‧‧端壁
520/522‧‧‧晶圓搬運梭
600‧‧‧蓋構件
602/604‧‧‧軌道或線性軸承
700‧‧‧處理群
702/704‧‧‧處理腔室
706‧‧‧集群腔室
708‧‧‧集群機器人
710‧‧‧緩衝區
712‧‧‧支架構件
714/716‧‧‧階層
718‧‧‧雙箭頭
800‧‧‧處理群
802/804/806/808‧‧‧處理腔室
900‧‧‧處理群
902/904‧‧‧處理腔室
908‧‧‧集群機器人
910‧‧‧附加腔室
912‧‧‧孔徑
914‧‧‧內部空間
1000‧‧‧方塊圖
1002‧‧‧方塊圖
1004‧‧‧方塊圖
1006‧‧‧方塊圖
1008‧‧‧方塊圖
1010‧‧‧方塊圖
為了詳細描述本發明的例示實施例,將參照隨附圖式,其中:圖1顯示根據至少一些實施例的半導體處理設備的透視圖;圖2顯示根據至少一些實施例的半導體處理設備的俯視圖;圖3顯示根據至少一些實施例的半導體處理設備的俯視圖;圖4顯示根據至少一些實施例的半導體處理設備的俯視圖;圖5顯示根據至少一些實施例的線性傳送機器人和相關設備的透視、部份剖面圖;圖6顯示根據至少一些實施例的線性傳送機器人的立視圖(實質上沿著圖5線6-6截取);圖7顯示根據至少一些實施例的處理群的透視、部份剖面圖;圖8顯示根據至少一些實施例,處理群的透視圖圖9顯示根據至少一些實施例,處理群的透視、部份剖面圖;並且圖10顯示根據至少一些實施例的方法;
在遍及以下描述和申請專利範圍中使用某些術語,以用於提及特定的系統元件。如熟習所屬領域者將能理解的,不同的公司會使用不 同的名稱來提及一個元件。本文件不欲對名稱不同但功能相同的元件加以區別。
以下的討論及申請專利範圍中,術語「包含」和「包括」使用於開放的形式,並且因此應被解釋成「包含但不僅限於…」。同樣地,「連接」或「連結」欲表示為直接或間接的連接。因此,如果第一裝置和第二裝置連接,該連接可經由直接連接或藉由其它裝置和連接的間接連接。
「線性機器人」應表示一種機器人系統,其傳送晶圓,藉以讓晶圓移動具有兩個以下的自由度(如:沿著一封閉軌道的水平位移以及和相對於重力的高度改變)。然而,線性機器人不應理解成必須直線位移。
「集群機器人(cluster robot)」應表示一種機器人系統,其傳送晶圓,藉以讓晶圓移動具有三個或以上的自由度。
「延伸長度路徑」應表示一路徑,其中一移動中晶圓經過的區域,其長度至少為六個晶圓直徑,但寬度不大於兩個晶圓直徑。
「處理腔室」應表示一種半導體處理發生於其中的腔室(如:蝕刻、沉積、清潔),其定義一八立方英尺或更小的內部體積。
「在大氣壓下運作」不應理解成包含將設計來在低於大氣壓下使用的構造在大氣壓下運作。
以下討論係針對本發明的各種不同實施例,雖然這些實施例可能係較佳的,但所揭露的實施例不應被解釋或以其它方式被使用作為限制本揭露內容(包含申請專利範圍)的範圍。此外,熟習此技術者將理解以下敘述會有廣泛的應用,並且,任何實施例的討論係僅為該實施例的示例,而非意圖暗示揭露內容範圍(包含申請專利範圍)僅限於該實施例。
各種不同範例實施例係針對半導體處理設備,以及更具體地針對不僅在設計階段還有在實施階段可輕易擴展的半導體處理設備。就是說,半導體處理設備的各種不同範例,可設計成不僅可容納處理腔室的初始需求數量,還可設計成可容納在初始裝置後設備未來的擴張。此說明書首先針對在範例系統中一種半導體處理設備的高層次概觀。
根據範例系統,圖1說明半導體處理設備100在部份剖面的簡單透視圖。特別是,圖1說明半導體處理設備100包含前端機器人102和相關的櫥櫃部(cabinetry)。前端機器人102設計和建構成從至少一個半導體 晶圓載具中搬出個別的半導體晶圓(其後都簡稱半導體晶圓為「晶圓」)。範例中的前端機器人102和兩個晶圓載具104和106展現操作關係,但其它範例系統中,前端機器人102可和一個或以上的晶圓載具連接。前端機器人102從晶圓載具搬出晶圓後,前端機器人102可將該晶圓提供給下游設備(如:線性機器人108,及未出現在圖1的機器人200),藉此將晶圓運送給一個以上處理腔室。再者,當晶圓從處理腔室返回(如:藉由線性機器人送回),前端機器人102可依需要將晶圓放置回晶圓載具中。有些範例系統中,前端機器人102可稱為一種設備前端模組(EFEM)。範例系統中,前端機器人102在大氣壓力下運作,但前端機器人102可經由一種負載鎖室系統來和在低於大氣壓的腔室下運作的下游系統交換晶圓。
半導體處理設備100更包含線性機器人108(機器人108配置在真空腔室109中),線性機器人108和前端機器人102呈操作關係。雖然,以下會更詳細討論線性機器人,但範例系統顯示線性機器人108會和前端機器人102的一端連接(經由圖2的機器人200),並且線性機器人108建構成沿著延伸長度路徑來移動晶圓(如藉由部份剖面圖中晶圓110和箭頭112和114所說明)。線性機器人108界定在處理群中發生晶圓交換的多個位置。圖1範例系統中,線性機器人108界定四個可發生晶圓交換的位置:位置116(按照圖1的觀點,其在最近側)、位置118(其在線性機器人108系統的遠側端)、位置120(其在位置116的對面)、位置121(其和傳送機器人有關但沒有顯示在圖1中)。具備四個晶圓發生轉移的範例位置(各自在線性機器人108的一側),係僅為一範例。多個晶圓轉移位置可沿任一特定側而存在,且會根據需求來包含或刪去遠端的晶圓轉移位置。
範例中的線性機器人108在低於大氣壓之壓力的真空腔室109中運作,以及因此經由負載鎖室120和122來和前端機器人系統102連接。也就是,當負載鎖室在大氣壓下時,前端機器人102可將晶圓放置在負載鎖室122和124其中之一。然後,可將負載鎖室排空,且將晶圓放置在位於真空腔室109中的線性機器人108上(藉由圖2的機器人200),以沿著延伸長度路徑運送。同樣地,為了送回晶圓,返回的晶圓可放置在負載鎖室中(藉由圖2的機器人200),之後將負載鎖室通氣至大氣壓狀態,最後前端機器人102可取回晶圓以及放置晶圓於晶圓載具中。圖一範例系統中,線性機 器人108經由兩個負載鎖室和前端機器人102連接;然而,根據系統的晶圓產量,可使用單一的負載鎖室。吾人注意到,雖然圖1中顯示的線性機器人108在真空下運作,但在其它情形中,線性機器人108可在大氣壓下運作(即未使用真空腔室)。
仍參照圖1,範例中的半導體處理系統100更包含了三個處理群:130、132及134。範例系統中,一處理群可包含一個以上處理腔室,該處理腔室和集群機器人呈操作關係的。以處理群130為例子,處理群130包含一支架136,支撐第一處理腔室138、第二處理腔室140、及配置於處理腔室138和140間的集群機器人142。集群機器人142係用來傳送晶圓往返線性機器人108,以及用來傳送晶圓進出處理群的處理腔室。在一些情形下,如果各種不同的處理為連續性的關係,則集群機器人142就可從處理腔室138傳送晶圓至處理腔室140中(反之亦然)。再者,為了要和線性機器人108交換晶圓,以及同樣地為了要從處理腔室中來放置和取回晶圓,集群機器人142至少要具有和晶圓移動有關的三個自由度(如:水平面中的兩個自由度,以及可分別自線性機器人108上升晶圓/下降晶圓至線性機器人108的z軸能力)。然而,吾人注意到,各種不同系統中,集群機器人僅需要搬運欲在該處理群相關處理腔室中處理的晶圓,並且由此可見,集群機器人不會搬運或接觸往返移動至其它處理群的晶圓(除非這些晶圓在和集群機器人有直接關聯的處理群中處理)。因此,舉例來說,處理群130的集群機器人142不需要搬運或接觸欲送至處理群132或處理群134的晶圓。
範例中的處理群138和134可採用任何合適的型式。有些情形中,處理群的處理腔室可加以設計或建構來執行相同的工作(如:電漿金屬蝕刻、電漿複晶矽蝕刻、電漿灰化處理、晶圓的濕式或乾式清潔、化學氣相沉積(CVD))。在其它情形中,處理腔室可加以設計和建構來執行不同,且有時為互補的工作。譬如:處理群中的第一處理腔室可給晶圓執行一個處理步驟,並且處理群中的第二處理腔室可給晶圓執行下一個處理步驟。處理腔室可加以設計和建構成在真空下運作(如:蝕刻處理、化學氣相沉積),或處理腔室可加以設計成在大氣壓下運作(如:清潔處理)。多數的情形下,處理腔室將可全設計和建構成在真空下運作或可全設計和建構成 在大氣壓下運作。
圖1範例中的處理群裡,每個處理群僅具有一種高度的處理腔室。譬如:處理腔室138和處理腔室140為相同的高度。然而,其它情形下,處理腔室在高度上可能會有偏移的狀況,並且因此集群機器人142可具有Z軸的移動能力來使其可以和每個處理腔室相互作用。更甚者,有些處理群可加以堆疊(特別是在大氣壓下運作的「清潔」群,晶圓藉由任何合適的清潔材料在清潔群中沖洗或清潔)。譬如:一處理群可具有四個或以上的處理腔室(集群機器人一側的兩個堆疊的腔室,以及在集群機器人另一側的兩個堆疊的腔室)。又進一步來說,在單一個半導體處理系統的處理群之間,不需一致性。譬如:處理群130可加以設計來執行某些工作並且可具有兩個處理腔室,而處理腔室132可加以設計來執行不同的工作並可具有不同數量的處理腔室。
圖2顯示半導體處理系統100的俯視圖,其介紹一簡單圖式來說明變化。特別是,圖2顯示半導體處理系統100,包含經由兩個範例負載鎖室122和124來和線性機器人108操作性連接的前端機器人102。圖2中可見,傳送機器人200建構成從負載鎖室122和124中(分別通過門123和125)移動晶圓至線性機器人108,藉此將晶圓傳送至處理群中。傳送機器人200建構成從線性機器人108移動晶圓至負載鎖室122和124,藉此將晶圓放置回晶圓載具中。有些情況下,傳送機器人200位於其自身的腔室之內,且該腔室具有一個將傳送機器人200與線性機器人108分隔開的門201。在其他實例中,可對於傳送機器人200和線性機器人108定義物理性分隔的腔室,但不具有門。最後,在其它情形下,傳送機器人200和線性機器人108可位於相同的腔室。範例系統中,線性機器人108設計和建構成在真空下運作,且其使用負載鎖室122和124來作為和前端機器人系統102的介面;然而,在線性機器人操作於大氣壓下的範例系統中,負載鎖室122和124及傳送機器人200可被刪除。
圖2進一步地顯示線性機器人108。在各種不同的範例系統中,線性機器人108沿著延伸長度路徑來運送晶圓。圖2範例中,該路徑由在一端的傳送機器人200延伸至在第二端的處理群132。因此,有些範例系統中,線性機器人系統108的線性機器人被認為僅具有一個自由度(其僅 沿著延伸長度路徑前後移動)。在其它情形中,和線性機器人系統108有關的線性機器人可具有改變機器人上晶圓高度的能力(即:z軸的移動),並且因此,在這類型的實施例中,線性機器人系統108可被認為具有兩個自由度。一般而言,在範例系統中,線性機器人系統108的線性機器人具有兩個以下的自由度。
範例中的線性機器人系統界定了三個和處理群發生交換晶圓的位置。具體來說,線性機器人108界定了和處理群130相關的第一位置116、和處理群132相關的第二位置、及和處理群134相關的第三位置120。視第一位置116為全部位置的例子,在線性機器人108操作於真空下的系統中,第一位置116可以門202為特徵,該門202可使集群機器人142進入晶圓位置204(雖然圖2顯示集群機器人142係與處理腔室其中一者呈操作關係)。範例系統中,集群機器人142可同樣地在一個真空下運作的腔室中運作,但腔室沒有特別地顯示在圖2中,以免過度複雜化圖式。如果腔室在真空下,那麼壓力位準可和線性機器人108運作於其中的腔室的壓力相同,以及在連續運作下,門202可長時間保持開啟。門202可加以關閉以隔絕含有機器人142的腔室,使得腔室可獨立於線性機器人108加以通氣和操作。因此,當一晶圓欲運送至集群機器人142時,線性機器人108移動且放置晶圓於位置204,並且集群機器人142通過開啟的門202抓取而將晶圓從線性機器人移開。同樣地,當一個晶圓欲從集群機器人142移轉時,線性機器人108會放置一空的載具於位置204中,集群機器人142會通過開啟的門202抓取並且放置晶圓於線性機器人上。關於集群機器人142的討論,係同樣成立於和處理群132有關的集群機器人206及和處理群134有關的集群機器人208的操作。
仍然參照圖2,由於各種不同裝置的物理性配置,保養路徑可容易地提供給全部的處理群。譬如;保養區210可被設置在和線性機器人108為相反側的處理群130的一側。保養區212可被設置在和線性機器人108為相反側的處理群132的一側。最後,保養區214可被設置在和線性機器人108為相反側的處理群134的一側。
圖2顯示不同組態的半導體處理系統俯視圖。特別是,圖3顯示包含前端機器人102的半導體處理系統100,前端機器人102經由二個 範例負載鎖室122和124來和線性機器人108操作性地連接。圖2中可見位於自己腔室203中的傳送機器人200,傳送機器人200建構成從負載鎖室122和124中移動晶圓至線性機器人108。同樣地,傳送機器人200也建構成從線性機器人108中移動晶圓至負載鎖室122和124中,藉此將晶圓放置回晶圓載具。相對於圖2的系統,圖3的線性機器人108沿著延伸長度路徑來傳送晶圓。然而,不像圖2中的範例,圖3的線性機器人108僅和單一處理群130有關聯。儘管如此,範例中的線性機器人108依然界定了3個可和處理群發生晶圓交換的範例位置。具體來說,線性機器人108界定和處理群130相關的第一位置116、第二位置118、和第三位置120。
圖3因此係為半導體處理設備初始配置的代表,其中前端機器人102和線性機器人108設計成終極會和預定數量的處理群連接,但最初系統具有少於預定數量的處理群。以此方式,半導體處理設備100可操作一段時間,傳送晶圓往返處理群130。之後(如:天,星期,月,甚至年),在不需要購買整體新的半導體設備的情況下,可添加額外的處理群。雖然,圖3的系統顯示三個位置,處理群可於該三個位置和線性機器人108交換晶圓,但預定的位置數目可更少(如:兩個位置),或是更多(如:五個位置)。
圖4顯示根據另一範例系統的半導體處理設備的俯視圖。特別是,圖4顯示包含前端機器人102的半導體處理設備100,該前端機器人102操作性地連接至線性機器人108。圖4的範例系統中,前端機器人102可加以設計和建構成適用於較大的產量,並且因此可和較大量的晶圓載具400連接(在範例系統中,有五個如此的晶圓載具)。圖4的前端機器人102經由二個負載鎖室122和124操作性地連接至線性機器人108。範例系統中,兩個傳送機器人402和404分別提供給負載鎖室122和124。每個傳送機器人402和404分別用以從負載鎖室122和124中移動晶圓至線性機器人108。同樣地,每一個傳送機器人402和404建構成分別從線性機器人108移動晶圓至負載鎖室122和124中,藉此將晶圓放置回晶圓載具中。然而,吾人注意到,取決於處理腔室的產量能力,可同樣地將圖1-3所顯示的單一傳送機器人200和雙重負載鎖室系統實施於五個處理群的系統中。也就是,如果處理腔室進行相對緩慢的處理,單一傳送機器人可能就足夠了。相反地,圖4的雙重傳送機器人系統未僅限於五個處理群的系統,並且可實施在其 中所實施的處理係短或快的具有較少處理群之系統中。
如關於前述的範例系統,圖4的線性機器人108沿著延伸長度路徑傳送晶圓。特別是,圖4中的線性機器人108界定了與處理群發生晶圓交換的複數個位置,並且具體而言,線性機器人具體地界定了與處理群發生晶圓交換的五個位置,該等位置為:406,408,412,414,和416。雖同前述,沒有絕對需要全部的五個處理群應隨時存在,但具有範例中的發生晶圓交換的五個位置,可使系統100和多達五個處理群連接。譬如:當整體製造設備需要附加處理腔室時,即可添加處理群。
如同前述,範例中的線性機器人108在低於大氣壓之壓力的真空腔室中運作,並且因此經由負載鎖室122和124來和前端機器人系統102連接。就是說,當負載鎖室在大氣壓下時,前端機器人102可將晶圓放置在負載鎖室的122或124其中之一。然後負載鎖室可加以排空,以及藉由傳送機器人402或404其中之一來將晶圓放置在線性機器人108上。同樣地,為送回晶圓,返回的晶圓可藉由各自的傳送機器人放置在一個負載鎖室中,將負載鎖室通氣至大氣壓狀態,且接著前端機器人102可取回晶圓並將晶圓放置在晶圓載具中。雖然未相對於處理群具體顯示,但當線性機器人108在真空下運作時,集群機器人也是如此。有些情況下,每一個發生晶圓交換的位置可具有一個搬運梭閘或是門,藉以將集群機器人位於其中的空間流體隔離於線性機器人位於其中的空間。圖4的示範系統中,交換位置406和門407相關,交換位置408和門409相關,交換位置412和門413相關,交換位置414和門415相關,且交換位置416和門417相關。在各個情形下,門和通過界定傳送腔室結構的孔徑相關,但圖4並未顯示該等孔徑,以免過度複雜化圖示。再度,儘管圖4的線性機器人108描述成在真空下運作,但其它情形下,線性機器人108可在大氣壓下運作(即:未使用真空腔室)。
仍然參照圖4,範例中的半導體處理設備100包含5個處理群418、420、422、424和426。圖5的處理群可採用和前述討論過相關的處理群一樣的型式、構造以及選擇,以及因此不會相關於圖4再度加以討論。然而,吾人注意到,相對於圖1至3的系統,以工程的觀點而言,處理群不需要重新設計以使用在例如圖4的系統中。事實上,部份情況下,從工 程的觀點處理群係模組式的,並且一個處理群可和任一線性傳送機器人一同運作。因此,設計一個具有例示的五個處理群的系統可能僅表示設計一適當長度的線性機器人108和數個發生晶圓傳送的位置。
部份範例系統中,半導體處理設備100可實現一系列處理步驟。譬如:起先,晶圓可藉由處理群418的處理腔室其中一者或二者來處理。也就是說,藉由線性機器人將晶圓靠近與處理群418相關的集群機器人430放置,集群機器人430可從線性機器人中來移動晶圓並且可放置晶圓於處理群418的其中一個處理腔室。處理後,集群機器人430可從處理腔室中移動晶圓並且可將晶圓放置回線性機器人108之上。線性機器人可移動晶圓使其接近處理群420,且集群機器人432可從線性機器人108中移動晶圓,並且可放置晶圓於處理腔室中以進一步地加以處理。現在,此說明書將針對關於線性機器人108的進一步細節。
圖5顯示和線性機器人108有關的系統的透視、部份剖面圖。特別是,圖5顯示在一範例系統中,線性機器人和傳送腔室109相關。範例中的傳送腔室109界定了線性機器人108存在其中的內部空間500(如圖表示,在剖面502的後面)。範例系統中,真空腔室109設計成在小於大氣壓的壓力下運作,藉以能夠減少晶圓顆粒污染的可能性。圖5也顯示,在腔室203中,負載鎖室122以及124和傳送機器人200(如圖表示,在剖面504的後面)係呈操作關係。譬如:傳送機器人200可透過孔徑506來和負載鎖室124交換晶圓,以及,同樣地,可透過孔徑550來和線性機器人交換晶圓。
為了要界定與處理群發生晶圓交換的位置,傳送腔室109界定了多個孔徑。圖5中,可見兩個孔徑:和側壁511相關的孔徑510、和端壁513相關的孔徑512(端壁513垂直於側壁511和515)。圖5的線性機器人108和圖1至3的系統相似,並且因此孔徑510可視為和處理群134相關,而孔徑512可視為和處理群132相關。許多情況下,每個孔徑係和當晶圓發生交換時選擇性開關的一個門相關聯。這些門示意顯示在圖2到4之中。部份情形下,這些門係為和傳送腔室系統相關的系統之一部份,並且,其它情形下,這些門係為處理群的一部份。在另外的情形下,不使用門,並且集群機器人會一直暴露於傳送腔室109的真空之中。
部份系統中,根據使用在系統中的晶圓產量,線性機器人108可僅包含單一個晶圓搬運梭,如:晶圓搬運梭520;然而,其它系統中,可藉由線性機器人108來實現兩個或以上的晶圓搬運梭(如:第二晶圓搬運梭522)。如圖6所示,每個晶圓搬運梭可延著軌道或是線性軸承來移動,其中藉由各個晶圓搬運梭的高度差異來實現同時運作。
圖6顯示實質上沿著圖5線6-6截取的傳送腔室和線性機器人的立視圖。特別是,圖6顯示側壁511以及平行的側壁515。部份情形下,傳送腔室109可藉由一個實質的透明蓋構件600(和每一個側壁互相垂直)來界定,藉以讓晶圓搬運梭的移動可被看見,但並無嚴格要求蓋構件600的透明。圖6的範例系統中,兩個軌道或線性軸承602和604存在於內部空間500中。第一晶圓搬運梭520可和第一線性軸承602相關聯,而第二晶圓搬運梭522可和第二線性軸承604相關聯。如圖所示,晶圓搬運梭520和522間的高度不同,藉以讓晶圓搬運梭520可獨立地沿著系統所定義的延伸長度路徑來移動。再度,雖然顯示具有兩個晶圓搬運梭的一線性機器人,一個以上的晶圓搬運梭以及相關的系統(如:三個晶圓搬運梭)可提供作為線性機器人108的一部份。
圖7顯示範例系統的處理群的透視、部份剖面圖。特別是,圖7顯示處理群700,該處理群可為前述任一處理群的說明。處理群700包含:第一處理腔室702和第二處理腔室704,其可加以設計和建構用來執行任何合適的單晶圓或多晶圓處理(如:電漿金屬蝕刻、電漿複晶矽蝕刻、電漿灰化處理、晶圓的濕式或乾式清潔、CVD腔室)。圖7進一步顯示和集群機器人708相關的集群腔室706。也就是說,在其中線性機器人(圖7未顯示)存在於真空下運作的傳送腔室之內的系統中,集群機器人708可同樣地放置在集群腔室706之中以及在真空下運作。
圖7範例中的集群機器人708也可和緩衝區710呈操作關係。緩衝區710可係為單一位置,晶圓可放置於該單一位置,以協助與處理腔室及/或線性機器人的晶圓交換。譬如:當一新的待處理晶圓在當前晶圓處理完成前到達,該晶圓可暫時儲存在緩衝區710中。其它情形下,緩衝區可儲存作為其它目的使用的晶圓,如:在清潔處理中放置在腔室中的「覆蓋晶圓(cover wafer)」,覆蓋晶圓係用以保護晶圓位於其上的電極。另一個 例子係為量測晶圓,該晶圓可放置在腔室中,用以測量處理參數,如:微粒子計數和蝕刻速率。
在部份系統中,緩衝區710僅提供單一位置用於暫時的晶圓儲存;然而,其它情形中,並且如同說明,緩衝區可包含:具有多個位置來儲存多個晶圓的支架構件712。範例中的支架構件712具有兩個可放置晶圓的階層:階層714和階層716。有些情形下,如同圖中雙箭頭718所表示,支架構件712可設計和建構成上下移動(在z-軸上)。然而,其他系統中,支架構件712可保持固定狀態,並且集群機器人708可具有足夠的z軸功能來和各支架階層交換晶圓。
圖8顯示一範例系統處理群的透視圖。特別是,圖8表示處理群800,該處理群可係為前述任一處理群的例示。在範例中的處理群800裡,會建置四個處理腔室:與集群機器人(未具體示出)對向,位於一側的二個疊置的處理腔室802和804,以及位於另一側的二個疊置的處理腔室802和804。圖8的處理群800較可能在處理腔室被設計和建構用來在大氣壓下運作的情形下實行(如:濕式晶圓清潔處理,濕式蝕刻處理),但疊置的系統也可提供用在電漿蝕刻處理中。
雖未顯示出和圖8相關的集群機器人,但其可和上述任一討論過的集群機器人為相似的設計和構造,其差異在於該集群機器人可具足夠的z-軸移動功能來和全部的四個處理腔室交換晶圓。再者,圖8的處理群更可能和在大氣壓下運作的線性機器人一起操作,並且因此不用在處理腔室間建置一個集群腔室。
圖9顯示另一範例系統處理群的透視、部份剖面圖。特別是,圖9顯示處理群900,該處理群可係為前述任一處理群的例示。處理群900包含:第一處理腔室902和第二處理腔室904,其可加以設計和建構成執行任何合適的單晶圓或多晶圓處理(如:電漿金屬蝕刻、電漿複晶矽蝕刻、電漿灰化處理、晶圓的濕式或乾式清潔、CVD腔室)。圖9未特別地顯示和集群機器人908相關的腔室,以免過度複雜化圖示,但上述的腔室是可存在的。
在圖7裡的範例集群機器人708也可和附加腔室910呈操作關係。附加腔室910可界定孔徑912,集群機器人908透過孔徑912可和腔室 910之內部空間914交換晶圓。範例中的附加腔室910顯示為具有和腔室902和904相同的物理尺寸,但上述的附加腔室910也可依需求而更大或更小。在部份範例系統中,附加腔室910可執行晶圓的前置處理或後置處理(與腔室902及/或904中提供的處理相關的前置處理以及後置處理)。譬如:當新的待處理晶圓到達處理群900,在放置到腔室902及/或904之前,集群機器人908可放置該晶圓於附加腔室910中進行前置處理。同樣地,當晶圓在腔室902及/或904的處理完成時,在放置回線性機器人之前,可將晶圓放置在附加腔室910中來進行後置處理。而在其它情形下,附加腔室均可執行晶圓的前置處理和後置處理二者。任何合適的前置處理和後置處理可在附加腔室中執行(如:濕式清潔、乾式清潔、灰化處理)。
圖10說明了根據範例實施例的方法。特別是,該方法從方塊圖900開始,其包含:安裝半導體處理設備,該半導體處理設備包含第一處理群,該第一處理群包含至少兩個處理腔室和配置在該至少兩個處理腔室間的第一集群機器人,該第一處理群操作性連接至一線性機器人(方塊圖902);藉由線性機器人傳送晶圓至第一處理群(方塊圖904);並且將第二處理群與該半導體處理設備配置成操作關係,第二處理群包含至少兩個處理腔室和配置在該至少兩個第二處理群之處理腔室間的第二集群機器人,該第二處理群操作性連接至該線性機器人(方塊圖906);及藉由線性機器人傳送晶圓至該第一和第二處理群二者(方塊圖908)。之後,該方法結束(方塊圖910)。
上述討論目的在說明本發明的原理和各種不同的實施例。對於熟習此技藝者,一但完全理解上述的揭露內容,多種的變化和改良會變得顯而易見。以下的申請專利範圍應被解釋成包括所有此等變化及改良。
100‧‧‧半導體處理設備(系統)
102‧‧‧前端機器人(系統)
104/106‧‧‧晶圓載具
108‧‧‧線性機器人(系統)
109‧‧‧腔室
110‧‧‧晶圓
116/118/120‧‧‧位置
122/124‧‧‧負載鎖室
123/125‧‧‧門
130/132/134‧‧‧處理群
136‧‧‧支架
142‧‧‧關節多軸機器人

Claims (18)

  1. 一種系統,該系統包含:一前端機器人,其用來從至少一個晶圓載具拉出個別晶圓;一線性機器人,其和該前端機器人呈操作關係,該線性機器人用來沿著一延伸長度路徑來移動晶圓,該延伸長度路徑和該線性機器人界定該線性機器人和其它機器人之間發生晶圓交換的一第一、第二、及第三位置;一第一處理群,其在該第一位置處和該線性機器人呈操作關係,該第一處理群包含:一第一處理腔室;一第二處理腔室;及一第一集群機器人,配置於該第一和第二處理腔室之間;其中該第一集群機器人用來從該線性機器人傳送晶圓至該等處理腔室,以及用來從該等處理腔室傳送晶圓至該線性機器人。
  2. 如申請專利範圍第1項的系統,更包含:一第二處理群,其在該第二位置處和該線性機器人呈操作關係,該第二處理群包含:一第三處理腔室;一第四處理腔室;及一第二集群機器人,配置於該第三和第四處理腔室之間;其中該第二集群機器人用來從該線性機器人傳送晶圓至該第三和第四處理腔室,且該第二集群機器人用來從該第三和第四處理腔室傳送晶圓至該線性機器人。
  3. 如申請專利範圍第2項的系統,更包含:一第三處理群,其在該第三位置處和該線性機器人呈操作關係,該第二處理群包含:一第五處理腔室;一第六處理腔室;及一第三集群機器人,其配置於該第五和第六處理腔室之間;其中該第三集群機器人用來從該線性機器人傳送晶圓至該第五和第六處理腔室,且該第三集群機器人用來從該第五和第六處理腔室傳送晶圓至 該線性機器人。
  4. 如申請專利範圍第1項的系統,其中第一處理群更包含:一緩衝區,其和該第一集群機器人呈操作關係;該第一集群機器人更用於選自以下操作所組成群組的其中至少一者:從該線性機器人傳送晶圓至該緩衝區;從該緩衝區傳送晶圓至該線性機器人;從該緩衝區傳送晶圓至該第一處理腔室;從該第一處理腔室傳送晶圓至該緩衝區;從該緩衝區傳送晶圓至該第二處理腔室;及從該第二處理腔室傳送晶圓至該緩衝區。
  5. 如申請專利範圍第4項的系統,其中該緩衝區更包含支架構件,用來儲存至少兩個晶圓。
  6. 如申請專利範圍第1項的系統,更包含:一傳送腔室,其具有一內部空間,該內部空間界定該延伸長度路徑,以及該傳送腔室設計用來在該內部空間中在小於大氣壓的壓力下運作;該線性機器人的至少一部份存在於該傳送腔室之內;一第一門,其和定義在該傳送腔室之中的一第一孔徑呈操作關係,該第一門和第一孔徑界定該第一位置;一第二門,其和定義在該傳送腔室之中的一第二孔徑呈操作關係,該第二門和第二孔徑界定該第二位置;及一第三門,其和定義在該傳送腔室之中的一第三孔徑呈操作關係,該第三門和第三孔徑界定該第三位置。
  7. 如申請專利範圍第6項的系統,其中該傳送腔室更包含:一第一側壁;一第二側壁,其平行於該第一側壁;一端壁,其垂直於該第一和第二側壁;其中該第一門和第一孔徑被界定在該第一側壁中;其中該第二門和第二孔徑被界定在該第二側壁中;及其中該第三門和第三孔徑被界定在該端壁中。
  8. 如申請專利範圍第6項的系統,其中該傳送腔室更包含:一第一側壁;一第二側壁,其平行於該第一側壁; 一端壁,其垂直於該第一和第二側壁;其中該第一門和第一孔徑被界定在該第一側壁之中,距該端壁一第一距離;其中該第二門和第二孔徑被界定在該第一側壁之中,距該端壁一第二距離;及其中該第三門和第三孔徑被界定在該第二側壁之中。
  9. 如申請專利範圍第6項的系統,更包含:一集群腔室,其具有一內部空間,該集群腔室的內部空間設計成在小於大氣壓的壓力下運作;該集群腔室經由該第一門和第一孔徑選擇性地和該傳送腔室的內部空間流體連接;及該第一集群機器人至少部份配置在該集群腔室之中。
  10. 如申請專利範圍第1項的系統,其中該延伸長度路徑設計成在大氣壓下運作。
  11. 一種方法,該方法包含:安裝半導體處理設備,該半導體處理設備包含一第一處理群,該第一處理群包含至少二個處理腔室和一配置在該至少二個處理腔室之間的第一集群機器人,該第一處理群操作性連接至一線性機器人;藉由該線性機器人傳送晶圓至該第一處理群;及然後將一第二處理群與該半導體處理設備配置成操作關係,該第二處理群包含至少二個處理腔室和配置在該第二處理群之該至少二個處理腔室之間的第二集群機器人,該第二處理群操作性連接至該線性機器人;及然後藉由該線性機器人傳送晶圓至該第一和該第二處理群。
  12. 如申請專利範圍第11項的方法,其中傳送晶圓更包含:藉由該線性機器人來傳送,其中該線性機器人的至少一部份配置在一真空腔室中。
  13. 如申請專利範圍第11項的方法,其中傳送晶圓更包含:藉由該線性機器人沿著一路勁傳送晶圓,其中該路徑係在大氣壓下。
  14. 如申請專利範圍第11項的方法,其中安裝操作更包含:安裝包含界定有二蝕刻腔室的該第一處理群之該半導體處理設備。
  15. 如申請專利範圍第14項的方法,其中配置該第二處理群更包含: 將僅包含至少二清潔腔室的該第二處理群配置成操作關係。
  16. 如申請專利範圍第11項的方法,其中安裝操作更包含:安裝包含界定二沉積腔室的該第一處理群之該半導體處理設備。
  17. 如申請專利範圍第11項的方法,其中傳送晶圓至該第一和該第二處理群更包含:藉由該線性機器人移動一晶圓接近該第一集群機器人;藉由該第一集群機器人,從該線性機器人移動該晶圓,以及放置該晶圓於該第一處理群的一選定的處理腔室;藉由該第一集群機器人,從該選定的處理腔室移動該晶圓,以及放置該晶圓於該線性機器人;及然後藉由該線性機器人移動該晶圓接近該第二處理群;及藉由該第二集群機器人,從該線性機器人移動該晶圓以及放置該晶圓於該第二處理群的選定的處理腔室。
  18. 如申請專利範圍第11項的方法,其中傳送晶圓至該第一處理群更包含:藉由該線性機器人移動多個晶圓接近該第一集群機器人;及然後藉由該第一集群機器人,從該線性機器人移動該等晶圓以及放置該等晶圓於該等處理腔室其中至少一者之中。
TW102132992A 2012-09-12 2013-09-12 有關半導體處理設備之方法與系統 TWI606539B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/610,990 US9293317B2 (en) 2012-09-12 2012-09-12 Method and system related to semiconductor processing equipment

Publications (2)

Publication Number Publication Date
TW201417209A true TW201417209A (zh) 2014-05-01
TWI606539B TWI606539B (zh) 2017-11-21

Family

ID=50233440

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102132992A TWI606539B (zh) 2012-09-12 2013-09-12 有關半導體處理設備之方法與系統

Country Status (6)

Country Link
US (2) US9293317B2 (zh)
JP (1) JP2014068009A (zh)
KR (1) KR20140035280A (zh)
CN (1) CN103681419A (zh)
SG (2) SG10201602863SA (zh)
TW (1) TWI606539B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2865586A1 (en) 2013-10-28 2015-04-29 J.D Components Co., Ltd. Adjustable seat tube assembly for bicycle
US10790174B2 (en) 2015-10-20 2020-09-29 Lam Research Corporation Wafer transport assembly with integrated buffers
TWI735895B (zh) * 2018-06-22 2021-08-11 瑞士商G射線工業公司 共價接合之半導體界面

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9558974B2 (en) * 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US9236257B2 (en) * 2013-03-13 2016-01-12 Varian Semiconductor Equipment Associates, Inc. Techniques to mitigate straggle damage to sensitive structures
JP2014216519A (ja) * 2013-04-26 2014-11-17 株式会社ディスコ 加工装置及びウエーハの輸送方法
CN105321844A (zh) * 2014-07-29 2016-02-10 盛美半导体设备(上海)有限公司 堆叠布局的半导体设备
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
KR101736855B1 (ko) * 2015-05-29 2017-05-18 세메스 주식회사 기판 처리 설비
JP2018006533A (ja) * 2016-06-30 2018-01-11 東京エレクトロン株式会社 真空処理装置、真空処理方法及び記憶媒体
JP2018006534A (ja) * 2016-06-30 2018-01-11 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6747136B2 (ja) * 2016-07-22 2020-08-26 東京エレクトロン株式会社 基板処理装置
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
JP2018174186A (ja) 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
CN108044355B (zh) * 2017-12-22 2024-01-23 沈阳芯嘉科技有限公司 一种激光砂轮划片机及复合材料切割方法
DE102018217471A1 (de) * 2018-10-12 2020-04-16 Krones Ag Modulares Robotersystem für eine Behälterverarbeitungsanlage
WO2020214785A1 (en) * 2019-04-18 2020-10-22 Lam Research Corporation High density, controlled integrated circuits factory
EP4102550A4 (en) * 2020-02-05 2023-02-01 Kabushiki Kaisha Yaskawa Denki TRANSPORT SYSTEM, TRANSPORT METHOD AND TRANSPORT DEVICE
CN113966548A (zh) 2020-05-21 2022-01-21 株式会社安川电机 搬送设备、搬送方法和搬送***
JP2022018359A (ja) * 2020-07-15 2022-01-27 株式会社Screenホールディングス 基板処理装置
US11721583B2 (en) * 2020-08-10 2023-08-08 Applied Materials, Inc. Mainframe-less wafer transfer platform with linear transfer system for wafer processing modules
CN114823426B (zh) * 2022-05-26 2023-04-14 北京北方华创微电子装备有限公司 半导体工艺设备
TWI840262B (zh) * 2023-06-26 2024-04-21 友威科技股份有限公司 一站式電漿製程系統及其製程方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6274852A (ja) * 1985-09-26 1987-04-06 Toshiba Corp 搬送装置
US5297910A (en) * 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
TW295677B (zh) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP2000286318A (ja) * 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
JP2001053125A (ja) * 1999-08-13 2001-02-23 Tokyo Electron Ltd 処理システム
US6779962B2 (en) * 2002-03-22 2004-08-24 Brooks Automation, Inc. Device for handling flat panels in a vacuum
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
KR100576150B1 (ko) * 2004-08-12 2006-05-03 세메스 주식회사 기판 이송 장치
WO2008016576A2 (en) * 2006-07-31 2008-02-07 Moore John D Conveyor systems and methods
WO2008062574A1 (fr) * 2006-11-22 2008-05-29 Tatsuo Kaneko Dérivé de sucre et ses utilisations
WO2008088109A1 (en) * 2007-01-16 2008-07-24 Tes Co., Ltd A loadlock chamber having dual-arm and a transportation system for processing semiconductor material using a loadlock chamber having dual-arm
JP4816662B2 (ja) * 2008-03-06 2011-11-16 株式会社安川電機 リニア軸のケーブル処理機構およびそれを用いた基板搬送装置
JP2009218384A (ja) * 2008-03-11 2009-09-24 Seiko Epson Corp 基板処理装置および有機エレクトロルミネッセンス装置の製造方法
KR101181560B1 (ko) * 2008-09-12 2012-09-10 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 그것에 사용되는 기판반송장치
CN102317865B (zh) 2009-02-13 2014-03-12 麦克罗尼克迈达塔有限责任公司 多载置台光刻***
JP5836594B2 (ja) * 2011-01-06 2015-12-24 大森機械工業株式会社 搬送装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2865586A1 (en) 2013-10-28 2015-04-29 J.D Components Co., Ltd. Adjustable seat tube assembly for bicycle
US10790174B2 (en) 2015-10-20 2020-09-29 Lam Research Corporation Wafer transport assembly with integrated buffers
TWI720034B (zh) * 2015-10-20 2021-03-01 美商蘭姆研究公司 具有整合的暫存區之晶圓傳送組件
US11393705B2 (en) 2015-10-20 2022-07-19 Lam Research Corporation Wafer transport assembly with integrated buffers
TWI773092B (zh) * 2015-10-20 2022-08-01 美商蘭姆研究公司 具有整合的暫存區之晶圓傳送組件
US11764086B2 (en) 2015-10-20 2023-09-19 Lam Research Corporation Wafer transport assembly with integrated buffers
TWI735895B (zh) * 2018-06-22 2021-08-11 瑞士商G射線工業公司 共價接合之半導體界面

Also Published As

Publication number Publication date
US20160163572A1 (en) 2016-06-09
US20140072397A1 (en) 2014-03-13
KR20140035280A (ko) 2014-03-21
CN103681419A (zh) 2014-03-26
US9293317B2 (en) 2016-03-22
SG2013069463A (en) 2014-04-28
SG10201602863SA (en) 2016-05-30
TWI606539B (zh) 2017-11-21
JP2014068009A (ja) 2014-04-17
US10256124B2 (en) 2019-04-09

Similar Documents

Publication Publication Date Title
TWI606539B (zh) 有關半導體處理設備之方法與系統
CN104011845B (zh) 半导体晶片搬运和运输
US9048274B2 (en) Portable stocker and method of using same
KR101331288B1 (ko) 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법
US8029226B2 (en) Semiconductor manufacturing systems
KR102463977B1 (ko) 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법
KR101744372B1 (ko) 진공 처리 장치
EP2092555B1 (en) Workpiece stocker with circular configuration
KR20100095371A (ko) 기판 처리 장치
US20020044860A1 (en) Processing system
US20080219810A1 (en) Semiconductor manufacturing process modules
US20080124197A1 (en) Semiconductor manufacturing process modules
TWI532114B (zh) Vacuum processing device and operation method of vacuum processing device
US20080124194A1 (en) Semiconductor manufacturing process modules
KR20140089517A (ko) 로드 포트, efem
JP5610009B2 (ja) 基板処理装置
JPH04190840A (ja) 真空処理装置
JP2011124565A (ja) 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
WO2007103887A2 (en) Semiconductor manufacturing process modules
US20080124195A1 (en) Semiconductor manufacturing process modules
KR20130035198A (ko) 모듈식 반도체 처리 시스템
US7032739B2 (en) Intermediate product carrying apparatus, and intermediate product carrying method
JP2018060823A (ja) キャリア搬送装置及びキャリア搬送方法
KR20200093222A (ko) 웨이퍼 이송 장치
US10497596B2 (en) Overhead manufacturing, processing and storage system