TW201349384A - 製造太陽能電池使用之雙遮罩配置 - Google Patents

製造太陽能電池使用之雙遮罩配置 Download PDF

Info

Publication number
TW201349384A
TW201349384A TW102113908A TW102113908A TW201349384A TW 201349384 A TW201349384 A TW 201349384A TW 102113908 A TW102113908 A TW 102113908A TW 102113908 A TW102113908 A TW 102113908A TW 201349384 A TW201349384 A TW 201349384A
Authority
TW
Taiwan
Prior art keywords
mask
wafer
carrier
frame
binary
Prior art date
Application number
TW102113908A
Other languages
English (en)
Other versions
TWI518839B (zh
Inventor
Terry Bluck
Ian Latchford
Vinay Shah
Alex Riposan
Original Assignee
Intevac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intevac Inc filed Critical Intevac Inc
Publication of TW201349384A publication Critical patent/TW201349384A/zh
Application granted granted Critical
Publication of TWI518839B publication Critical patent/TWI518839B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6734Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders specially adapted for supporting large square shaped substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electron Beam Exposure (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Electromechanical Clocks (AREA)

Abstract

一配置用以在加工期間支撐基板,具有一晶圓載具。該載具具有一台座,以支撐該基板,並侷限該基板於預定位置。一內部遮罩建置以放置於該基板之上方,該內部遮罩具有一開口圖案,以遮蔽該基板不加工之部分,但暴露該基板之其餘部分,供進行加工。一外部遮罩建置以放置於該內部遮罩之上方,該外部遮罩具有一開口,用以暴露該內部遮罩具有開口圖案之部分,但覆蓋該內部遮罩之周邊。

Description

製造太陽能電池使用之雙遮罩配置
本案主張美國臨時專利申請案(Provisional Application)61/635,804號和61/639,052,申請日2012年4月19日和2012年4月26日之優先權,該案的全部內容併入本案作為參考。
本發明涉及遮罩製造,例如在製造太陽能電池時使用的遮罩。
在太陽能電池各種製造階段期間,必須使用遮罩將太陽能電池的某些部份與特定製程階段阻隔。例如,遮罩可用以形成接點或形成邊緣排除(edge exclusion),以預防該電池產生分流。也就是,在前側及背側具有接點的太陽能電池,用來形成接點的材料可能會沈積於晶圓的邊緣處,而使前側及背側的接點產生分流。因此,至少在製造前側或背側接點時最好使用遮罩阻隔該電池之邊緣。
換另一種情形,在製造矽太陽能電池時,應在背部表面沈積包覆金屬,以作為反光板及電導體。該金屬普遍為鋁,但該包覆金屬可能為任一金屬,在選擇時須從多方面考慮,例如成本,導電率,可焊性等。所沈積的薄層厚度可能從極薄,例如約10 nm(奈米)到極厚,例如2-3um(微米)。然而,在製程中必須防止該包覆金屬包過該矽晶圓的邊緣,因 為這種現象會在該太陽能電池前側表面與背側表面間,產生電阻連結,亦即分流(shunt)。為預防此種連結,須在該晶圓背側邊緣處製造一排除區。通常該排除區尺寸小於2 mm寬,但最好將排除區製成越薄越好。
一種形成該排除區的方法是使用遮罩;然而,使用遮罩具有許多挑戰。由於在太陽能工業本身的高競爭性,該遮罩需以極廉價來生產。同時,由於太陽能製造配備之高生產率需求(普遍一個小時生產1,500-2,500個),該遮罩需在高產量製造下,能快速且簡易使用。同時,因為該遮罩是用以預防薄層沈積在該晶圓特定部分上,遮罩必須能吸收且可容納積累之沈積。再者,因為薄層沈積是在升高的溫度下進行,該遮罩需可在升高的溫度下正常運作,例如在高達350℃下,仍能準確地維持該排除區寬度,但同時又能適應基板由於熱應力而產生之翹曲。
以下發明簡述提供作為對本發明數種面向及技術特徵之基本理解。發明簡述並非對本發明之廣泛介紹,也因此並非用來特別指出本發明之關鍵性或是重要元件,也非用來界定本發明之範圍。其唯一目的僅在以簡單之方式展示本發明之數種概念,並作為以下發明詳細說明之前言。
本發明之實施例使用遮罩解決上述問題,該遮罩為一雙遮罩配置。該二元遮罩系統建置以遮蔽半導體晶圓,並包含一內部遮罩及一外部遮罩。內部遮罩由一平坦金屬片構成,具有孔洞可暴露該晶圓需加工之部分;外部遮罩則建置以覆蓋並遮蔽該內部遮罩。該外部遮罩具有一開放切口,其尺寸及形狀與該晶圓尺寸及形狀相似。該外部遮罩厚度比該內 部遮罩厚度更厚。一遮罩邊框建置以支撐該內部遮罩及外部遮罩,以使該外部遮罩夾在該遮罩邊框及該內部遮罩間。在一實施例中,該雙遮罩配置是用以提供邊緣隔絕,此時該內部遮罩的開放切口尺寸比該晶圓略小,故當該內部遮罩置於該晶圓上時能遮蓋該晶圓周圍邊緣,且該外部晶圓的開放切口略小於該內部遮罩的開放切口。一上方邊框載具用以保持該內部遮罩及外部遮罩,並固定該內部遮罩及外部遮罩至該晶圓台座。
一上方遮罩或外部遮罩可由例如約0.03”厚的鋁,鐵或其他相似材料製成,且建置以與一基板載具配對。一內部遮罩由一極薄,例如約0.001至0.003”厚的平坦鐵片或其他磁性材料製成,並建置以套在於該外部遮罩內。
根據其他實施例,用以在加工期間支撐晶圓之配置包括:一晶圓載具或台座,具有一提升之邊框,該提升邊框具有一凹部,以環繞並支撐一晶圓並侷限該晶圓置於預定之位置;一內部遮罩,建置以放置於該提升邊框之上方,該內部遮罩具有一開口配置,建置以遮蔽該晶圓之部分並暴露其餘之部分;及一外部遮罩,建置以覆蓋該提升邊框,並放置於該內部遮罩之上方,該外部遮罩具有一單一開口,建置以部分覆蓋該內部遮罩。一上方邊框載具,可用以保持該內部遮罩及外部遮罩,並固定該內部遮罩及外部遮罩至該晶圓台座。
磁鐵位於該台座內,並以替換極性N-S-N-S-N圍繞該邊框全部,或在該台座整個表面下方全域,並直接在該晶圓下方。該外部遮罩及內部遮罩設計成只藉由磁力支撐在該邊框上,以能簡易並快速裝載及卸載基板。
該遮罩組件可從該晶圓載具及支撐邊框卸除,以裝載基板至該載具內。外部及內部遮罩兩者拉升之後,成為該遮罩組件之部分。但當該晶圓置於該晶圓儲存盒內之載具上後,該遮罩組件即下降回到該載具上。該內部遮罩與該晶圓之上方表面重疊。在該載具邊框內的磁鐵拉下該內部遮罩,以與該基板緊密接觸。如此即在該晶圓邊緣上形成一緊密依從的密封。該外部遮罩設計成可防止沈積形成於該薄的依從性內部遮罩上。如上所述,該沈積過程可能造成該內部遮罩升溫,導致該遮罩翹曲並鬆弛其與該晶圓之接觸。若該遮罩與該晶圓之接觸鬆弛,金屬薄層將沈積於該基板晶圓表面之排除區。但藉由該磁鐵所形成的囊狀包容及摩擦力,可在傳送及沈積期間維持該基板與遮罩,不會相對移動,而該外部遮罩則可防止薄層沈積於該內部遮罩上,並防止該內部遮罩發生翹曲。
該遮罩組件可定期從該具有載具之系統移除,其方式是使用真空載具替換器。該載具替換器為一可攜式真空包覆,附有載具傳輸裝置。使該載具交換能如「空中加油」般,不需停止該系統之連續操作即可進行。
100‧‧‧載具
105、230、405、1005‧‧‧台座
110‧‧‧陶瓷桿架棒、陶瓷邊框
115‧‧‧升降板
120‧‧‧晶圓、基板
125、225‧‧‧傳輸軌道
200‧‧‧載具支撐
205、605‧‧‧晶圓載具
210、232、430‧‧‧邊框
215‧‧‧升降桿
230、405‧‧‧基底
235、432、632、762‧‧‧凹部
240、440、866‧‧‧包囊
245、445‧‧‧外部遮罩
252‧‧‧升降板
420‧‧‧晶圓
434、634、1034‧‧‧磁鐵
436‧‧‧遮罩邊框
450‧‧‧內部遮罩
452‧‧‧邊緣處
660、1082‧‧‧對位銷
836‧‧‧上方邊框
862‧‧‧長形桿
868‧‧‧對位孔
1080‧‧‧靜電載盤
所附的圖式納入本件專利說明書中,並成為其一部份,是用來例示數種實施例,並與本案的說明內容共同用來說明及展示本發明的原理。圖式的目的只在以圖形方式例示本發明實施例的主要特徵。圖式並不是用來顯示實際上的範例的全部特徵,也不是用來表示其中各元件之相對尺寸,或其比例。
圖1顯示根據本發明一實施例之多晶圓載具,但並非用以供遮罩加工 使用。
圖2A-2E表示根據本發明數個實施例中的多晶圓載具數種形式之示意圖,該載具具有一雙遮罩配置。
圖3表示本發明一實施例之外部遮罩外觀圖,顯示該內部遮罩套裝於其中。
圖4表示本發明一實施例的邊框,外部遮罩及內部遮罩之部分放大截面圖。
圖4A顯示本發明另一實施例,可用以例如在一晶圓背側形成接點圖形。
圖5表示本發明一實施例之內部遮罩示意圖,該遮罩用於形成邊緣隔離。
圖6表示本發明一實施例之單一晶圓載具。
圖7表示本發明一實施例之外部遮罩底面圖。
圖8表示本發明一實施例之上方邊框外觀圖,該邊框用以支撐該內部遮罩及外部遮罩。
圖9表示本發明一實施例之內部遮罩形狀示意圖,該遮罩用以在該晶圓製造多數孔洞。
圖10表示本發明一實施例之台座外觀圖,該台座用以與圖9之遮罩配合使用。
圖10A表示本發明一實施例之台座側視圖,其形式為一靜電載盤,具有彈性裝載對位銷於其中。
在傳統半導體晶圓製造中普遍為個別加工,而在太陽能電池製造中,則是數個晶圓同時製造。為簡化說明起見,以下描述將以同時加工3個晶圓為例;然而,必須說明的是,該實施例可延伸至同時加工任意數量之晶圓。
圖1顯示根據本發明一實施例之多晶圓(multi-wafer)載具,但並非用以做遮罩加工。也就是,在製造太陽能電池時,某些加工該晶圓之步驟並不需遮蔽。在此狀況下,可利用圖1之載具。下面將參考其餘圖式,解說使用本發明雙遮罩配置之載具。因此,在數個實施例,不需遮蔽之加工步驟可利用圖1之載具,而需要遮蔽之加工步驟可利用其餘圖式之載具。如圖1所示,此實施例之多晶圓載具結構相當簡單且便宜。雖然圖1之載具顯示成建置以傳輸3個晶圓,須說明的是,該載具可建置用於不同數量之晶圓。同時,亦須說明的是,每個加工腔也可建置以同時容納數個載具,因而使用數個載具同時加工數個晶圓。
圖1之載具100由一簡單的板狀台座105構成,其材質可例如為鋁氮化物,並藉由一陶瓷邊框或陶瓷桿架110支撐。該陶瓷邊框110提供該台座105與腔室中其他元件間提升的隔熱。一升降板115位於每一晶圓120所在之側面,以使晶圓可提升,以離開該台座105。傳輸軌道125位於該邊框110之兩側,以使該載具可於該系統內傳輸。
當加工該晶圓需要使用遮罩時,可將多數遮罩各自置放於每一晶圓之上方,或僅以一遮罩同時覆蓋3個晶圓。該遮罩可利用例如磁鐵保持其位置。然而,為準確加工,該遮罩需製成極薄,並因此常時會因加工時的熱應力變形。此外,薄的遮罩導致快速累積沈積物,而該沈積物 會影響該遮罩的準確放置及準確遮蔽。因此,根據下述本發明之實施例,使用該雙遮罩配置可以改善以上缺點。
圖2A-2E顯示根據本發明數種實施例之多晶圓載具之不同面向。該載具具有一雙遮罩配置。圖2A顯示一多晶圓載具,具有雙遮罩配置,其中該遮罩配置位於下方位置,以使其內部遮罩與晶圓做緊密的物理接觸;圖2B顯示一多晶圓載具,具有雙遮罩配置,其中該遮罩配置位於抬升的位置,用以替換該晶圓;圖2C顯示一多晶圓載具,具有雙遮罩配置,其中包括遮罩升降板,用以裝載/卸載晶圓;圖2D顯示一多晶圓載具之部份截面圖,該載具具有雙遮罩配置,其中該遮罩配置及該晶圓升降板位於一提升位置;而圖2E顯示一多晶圓載具之部份截面圖,該載具具有雙遮罩配置,其中該遮罩配置及該晶圓升降機位於下方位置。
請參照圖2A。該多晶圓載具,也稱為載具支撐200具有3個分別的單一晶圓載具或台座105,藉由一台座邊框或台座桿架110支撐,該台座邊框由例如陶瓷製成。每一單一晶圓載具105建置以保持單一晶圓與一雙遮罩配置。在圖2A,該雙遮罩配置位於一下方位置,但無晶圓位於任一載具內,故可顯示該載具之結構。在圖2B中,該雙遮罩配置位於一提升位置。同樣也無晶圓位於任一載具內。在圖2A-2E之實施例中,使用一升降桿215以提升或下降該雙遮罩配置;然而,為降低成本及減少複雜度,升降桿215可不需配置,並以手動抬升該雙遮罩配置。與圖1所示相同,傳輸軌道225位於該邊框210之兩側上,以使該載具200可於該系統內傳輸。
每一單一晶圓載具205具有一基底230(可見於圖2B), 具有一提升之邊框232,邊框232內具有一凹部235,以藉由一晶圓之邊緣處支撐晶圓,成懸空狀態。該基底230與該邊框232形成一包囊240,位在該懸空的晶圓下方。這種方式有利於捕捉破損晶圓的碎片。在某些實施例,該邊框232可從該台座230分開。外部遮罩245建置以跨置於該邊框232上,以覆蓋該邊框232並覆蓋該內部遮罩之周邊處,但暴露該內部遮罩之中心部分,該暴露部分與該晶圓相對應。此可藉由圖4實施例之截面圖例示。
在圖4中,台座或基底405具有提升的邊框430,其上具有凹部432,用來支撐晶圓420周邊處。該具有邊框430之台座405形成包囊440,且該晶圓懸於該包囊上方。一系列之磁鐵434位於該提升的邊框430內,以圍繞該晶圓420周邊。在某些實施例,特別是用於高溫操作之實例,該磁鐵434可由釤鈷合金(SmCo)製成。內部遮罩450位於該提升之邊框430及該晶圓420上方,並藉由磁鐵434保持其位置,以使其實際接觸該晶圓。外部遮罩445置於該內部遮罩450上方,並與其實際接觸,以使其覆蓋該內部遮罩450之周邊處,但該內部遮罩用以傳送加工至晶圓之區域,則不為該外部遮罩445所遮蔽。圖3顯示一外部遮罩245之實例。在此例子,該外部遮罩245由對折的鋁片製成,其中該內部遮罩藉由該外部遮罩覆蓋,但不覆蓋到邊緣處452的狹窄範圍。這是因為該例子是用以做邊緣分流排除區加工。圖5顯示該內部遮罩用以做邊緣分流排除區加工的另一實例。其中,該內部遮罩為一平坦金屬片,具有一開口,其尺寸及形狀與晶圓尺寸及形狀相同,但比晶圓尺寸略小例如1-2 mm。在圖4之實施例,遮罩邊框436用以支撐並提升該內部遮罩及外部遮罩離開該載具。 在此一結構下,該外部遮罩夾在該遮罩邊框436及該內部遮罩450間。
圖4顯示另一實施例,其可利用於例如在一晶圓背側形成接點圖形。在此實施例中,該台座形成一上方平台,以從整個表面支撐該晶圓。磁鐵434嵌於該台座整個區域中,並位於該台座上方表面下方。該內部遮罩450覆蓋該晶圓420整個表面,並具有多數孔洞,以配合所需的接點設計。
現回到圖2A-2E。在圖中,升降桿215可用以同時抬升該外部遮罩與該內部遮罩。同時,晶圓升降板252可用於抬升該晶圓離開該邊框230,以使其可利用一機械手臂替換新的晶圓進行加工。然而,升降桿215及升降板252可不需使用,此時抬升該遮罩及替換晶圓之操作可用手動取代。
上述參考圖4之實施例中,該載具支撐該晶圓之邊緣處,使該晶圓處於懸空狀態。該包囊形成於該晶圓下方,以捕捉破損晶圓的碎片,並防止沈積材料形成在晶圓邊緣。反之,在圖4A之實施例中,載具是支撐該晶圓整個表面。在濺鍍或其他形式之加工時,該遮罩組件下降至適當位置,並手動或自動抬升,以裝載及卸載晶圓。載具上一系列磁鐵協助固定該內部遮罩於適當位置,並使該內部遮罩與該晶圓緊密接觸。重複使用後,該外部遮罩及內部遮罩即可替換,而其餘載具組件則可重複使用。該邊框210,也可稱為遮罩組件側桿,是由低熱膨脹係數材料製成,如氧化鋁或鈦。
根據上述實施例,該內部遮罩與該基板之間建立一無縫隙之緊密接觸。該外部遮罩保護該內部遮罩,該載具及該邊框,防止其上沈 積材料。在此描繪之實施例中,該外部遮罩及內部遮罩之開口為準正方形(pseudo-square shape),適於應用在製作單晶太陽能電池時,進行邊緣分流隔離加工。在應用於其他加工時,該內部遮罩具有一特定開口配置,而外部遮罩具有該準正方形開口。所稱之準正方形是指正方形,但4角形成倒角,其形狀與切割晶圓由來的圓錠形狀相同。當然,若使用多晶正方形晶圓,該外部及內部遮罩開口都可以是正方形。
圖6顯示本發明一實施例之單一晶圓載具605。該晶圓以其邊緣停留在凹部632上。磁鐵634以虛線顯示,位於該載具內,並環繞整個晶圓。對位銷660用以將該外部遮罩對準該載具605。圖7顯示本發明一實施例之外部遮罩之底面圖。圖中顯示該外部遮罩745具有對位孔或凹部762,而與該載具605之對位銷660相對準。
圖8顯示本發明一實施例之上方邊框836,用以保持該外部遮罩及內部遮罩,並固定該2遮罩於該台座。該上方邊框836由例如兩2條長形桿862製成,並藉由兩個橫向桿連結。該外部遮罩保持在包囊866內。對位孔868用以將該上方邊框對準該台座。
圖9顯示本發明一實例的內部遮罩,具有一孔洞圖形設計。該內部遮罩用以例如在晶圓上形成多數接點。此一內部遮罩可與圖10之台座共同使用。其中,多數磁鐵1034以交替極化方向配置,分布於整個區域,並位於該晶圓表面下方。在此實施例中無須在該台座內配備具提升的邊框,而可形成一平坦平台,如圖10A所示。
圖10A顯示本發明一實例的台座1005,其形式為一靜電載盤(ESC)1080。在此實施例中,該ESC 1080上方為一平坦平面,且無具 提升的邊框,也無包囊可供接收破損基板的碎片。此外,在此實施例中,使用彈性裝載對位銷1082,以提供該基板120的準確對準。當該遮罩置於該基板上方時,會壓迫該對位銷1082,使其回縮而不突出於該基板。該對位銷只位於兩側:1支對位銷位於一側,另有2支對位銷位於與第一側呈90°角方向之側面。因此該基板可向此對位銷推壓,以提供該基板之對準。
從上述實施例可瞭解,該內部磁化遮罩應為極薄,以使其具彈性,且可與該基板表面形成平整。該基板支架可為一邊框,一靜電載盤,一平坦板等,只要能使磁鐵嵌於該基板下方,以保持該內部遮罩與該基板之接觸狀態即可。當該遮罩為一開放區域遮罩,只遮蔽晶圓之外側邊緣,例如用以作邊緣隔離之應用時,該磁鐵可沿該遮罩之開口邊緣配置。但如該遮罩是在該基板表面上形成孔洞之遮罩時,該磁鐵可配置於該遮罩下方,分布於該遮罩整個區域。本發明的雙遮罩配置可用於數種加工,例如沈積,植入,反應式離子蝕刻加工等。例如一觸控面板可由ITO之平面沈積製成,再經由一RIE製程,以透過遮罩使該ITO層產生圖案。
本發明既已根據特定實例說明如上,但本發明並不限於上述實施例。特別是,習於斯藝之人士均可在不脫離本發明之精神與範圍之下,作出各種不同之變化及修改。本發明之範圍應由以下所附的申請專利範圍界定。
200‧‧‧載具支撐
210‧‧‧邊框
215‧‧‧升降桿
225、232‧‧‧傳輸軌道
230‧‧‧基底、台座
235‧‧‧凹部
240‧‧‧包囊
245‧‧‧外部遮罩

Claims (28)

  1. 一二元遮罩系統,用以遮蔽半導體晶圓,包括:一內部遮罩,由一平坦金屬片構成,其內具有至少一開口,其形狀與要傳送至該晶圓之圖案相同,以使該內部遮罩置於該晶圓上時,可覆蓋該晶圓之部分;及一外部遮罩,建置以放置在該內部遮罩上方,並遮蔽該內部遮罩,該外部遮罩具有一開放切口,其尺寸設計成可覆蓋該內部遮罩之邊緣,該外部遮罩厚度厚於該內部遮罩厚度。
  2. 如申請專利範圍第1項的二元遮罩系統,其中該內部遮罩之開口設計成只覆蓋該晶圓邊緣。
  3. 如申請專利範圍第1項的二元遮罩系統,其中該內部遮罩包括多數開口,設計以在該晶圓一表面上方產生一重複設計。
  4. 如申請專利範圍第1項的二元遮罩系統,其中該內部遮罩由一磁性材料製成。
  5. 如申請專利範圍第1項的二元遮罩系統,其中內部遮罩具有0.001至0.003英吋之厚度。
  6. 如申請專利範圍第1項的二元遮罩系統,其中該外部遮罩由一磁性材料製成。
  7. 如申請專利範圍第1項的二元遮罩系統,其中該外部遮罩由鋁製成。
  8. 如申請專利範圍第1項的二元遮罩系統,其中該內部遮罩由鋼製成。
  9. 如申請專利範圍第1項的二元遮罩系統,更包括一遮罩邊框,建置以支撐該內部及外部遮罩,以使該外部遮罩夾在該遮罩邊框與該內部遮 罩間。
  10. 一種用於在加工期間支撐晶圓之裝置,包括:一晶圓載具,具有一平台,用以支撐一晶圓;一內部遮罩,建置以放置於該晶圓之上方,該內部遮罩具有一開口圖案,用以遮蔽該晶圓之部分並暴露該晶圓其餘部分;一外部遮罩,建置以放置於該載具上方,並位於該內部遮罩上方,該外部遮罩具有一開口,建置以部分覆蓋該內部遮罩。
  11. 如申請專利範圍第10項的裝置,其中該內部遮罩由一平坦金屬片構成,具有開放切口,其尺寸比該晶圓略小,以在該內部遮罩置於該晶圓上時能覆蓋該晶圓之邊緣。
  12. 如申請專利範圍第10項的裝置,其中該內部遮罩由鋼製成。
  13. 如申請專利範圍第10項的裝置,其中內部遮罩具有一0.001至0.003吋之厚度。
  14. 如申請專利範圍第10項的裝置,更包括數個磁鐵,嵌入該載具內,並建置以將該內部遮罩拉至與該晶圓接觸。
  15. 如申請專利範圍第14項的裝置,其中該外部遮罩由磁性材料製成,用以分流該磁鐵之磁場,並用以保持該外部遮罩與該內部遮罩之接觸。
  16. 如申請專利範圍第10項的裝置,其中該外部遮罩由鋁製成,並停留與該內部遮罩之上方實際接觸。
  17. 如申請專利範圍第10項的裝置,更包括載具支撐,建置以同時支撐數個晶圓載具,每個晶圓載具具有相對應之內部及外部遮罩組件。
  18. 如申請專利範圍第17項的裝置,其中該載具支撐包括陶瓷桿,以支撐 該數個晶圓載具。
  19. 如申請專利範圍第17項的裝置,其中該載具支撐更包括軌道,建置以在一加工系統中傳輸該晶圓載具。
  20. 如申請專利範圍第17項的裝置,其中該載具支撐更包括遮罩升降器,建置以提升該外部及內部遮罩離開該晶圓載具。
  21. 如申請專利範圍第17項的裝置,其中該載具支撐更包括晶圓提升桿,建置以提升該晶圓離開該晶圓載具。
  22. 如申請專利範圍第10項的裝置,其中該外部及內部遮罩建置成只藉由磁力保持於該載具,以能夠簡易且快速裝載及卸載晶圓。
  23. 如申請專利範圍第10項的裝置,其中該載具包括一台座,具有一提升之邊框,該邊框具有一凹部,以定義出位於該晶圓下方之包囊,使該晶圓藉由該晶圓周邊停留在該凹部上方而懸在在該包囊上方。
  24. 如申請專利範圍第10項的裝置,其中該載具包括對位銷,且該外部遮罩具有與其相對應之對準凹槽。
  25. 如申請專利範圍第10項的裝置,其中該外部遮罩包括一對折之鋁片。
  26. 如申請專利範圍第14項的裝置,其中數個磁鐵由釤鈷合金製成。
  27. 如申請專利範圍第10項的裝置,更包括一遮罩邊框,建置以支撐該內部遮罩及外部遮罩,以使該內部遮罩夾在該遮罩邊框及該外部遮罩間。
  28. 如申請專利範圍第12項的裝置,其中該磁鐵環繞該邊框全部,並以轉換極性N-S-N-S-N方式配置。
TW102113908A 2012-04-19 2013-04-19 製造太陽能電池使用之雙遮罩配置 TWI518839B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261635804P 2012-04-19 2012-04-19
US201261639052P 2012-04-26 2012-04-26

Publications (2)

Publication Number Publication Date
TW201349384A true TW201349384A (zh) 2013-12-01
TWI518839B TWI518839B (zh) 2016-01-21

Family

ID=49379018

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102113908A TWI518839B (zh) 2012-04-19 2013-04-19 製造太陽能電池使用之雙遮罩配置

Country Status (9)

Country Link
US (1) US9525099B2 (zh)
EP (1) EP2839052A4 (zh)
JP (1) JP6243898B2 (zh)
KR (1) KR102104688B1 (zh)
CN (1) CN104685095B (zh)
MY (1) MY167662A (zh)
SG (2) SG11201406746RA (zh)
TW (1) TWI518839B (zh)
WO (1) WO2013159050A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9543114B2 (en) 2014-08-05 2017-01-10 Intevac, Inc. Implant masking and alignment system with rollers
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
TWI696231B (zh) * 2014-02-20 2020-06-11 因特瓦克公司 基板的雙面加工系統及方法
TWI739597B (zh) * 2020-09-15 2021-09-11 宏進金屬科技股份有限公司 製造散熱片的方法及散熱片

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10679883B2 (en) * 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
US9694990B2 (en) * 2012-06-14 2017-07-04 Evatec Ag Transport and handing-over arrangement for disc-shaped substrates, vacuum treatment installation and method for manufacture treated substrates
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9399827B2 (en) * 2013-04-29 2016-07-26 Applied Materials, Inc. Microelectronic substrate electro processing system
CN104131252A (zh) * 2013-05-02 2014-11-05 上海和辉光电有限公司 提高封装成膜均匀性的方法及装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR20160111521A (ko) 2014-01-27 2016-09-26 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 시스템을 위한 복합 반경들을 갖는 유지 포켓들을 구비한 웨이퍼 캐리어
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR20170084314A (ko) * 2014-11-17 2017-07-19 어플라이드 머티어리얼스, 인코포레이티드 코팅 프로세스 및 웨브 코팅 설비를 위한 개별 마스크를 구비한 마스킹 어레인지먼트
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11131024B2 (en) * 2015-02-13 2021-09-28 Oerlikon Surface Solutions Ag, Pfäffikon Fixture comprising magnetic means for holding rotary symmetric workpieces
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN108290694B (zh) * 2015-10-01 2021-05-04 因特瓦克公司 用于衬底制造的晶圆板和掩模装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6749275B2 (ja) * 2017-03-31 2020-09-02 芝浦メカトロニクス株式会社 アウターマスク、プラズマ処理装置、およびフォトマスクの製造方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN207425825U (zh) * 2017-11-16 2018-05-29 君泰创新(北京)科技有限公司 太阳能电池硅片承载装置以及传输***
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109930107A (zh) * 2017-12-19 2019-06-25 上海和辉光电有限公司 一种张网固定结构和张网固定方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US20190249306A1 (en) * 2018-02-09 2019-08-15 Applied Materials, Inc. Apparatus and methods for reducing cross-contamination in cvd systems
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10553501B2 (en) * 2018-03-28 2020-02-04 Canon Kabushiki Kaisha Apparatus for use in forming an adaptive layer and a method of using the same
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN110395570A (zh) * 2018-04-24 2019-11-01 君泰创新(北京)科技有限公司 电池片上下料设备及其盖板错位检测装置
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN109913843B (zh) * 2019-03-14 2020-11-06 南京中电熊猫液晶显示科技有限公司 一种夹具装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110055508B (zh) * 2019-05-30 2021-11-23 武汉华星光电技术有限公司 一种基板固定装置
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN110846614B (zh) * 2019-11-21 2022-03-25 昆山国显光电有限公司 一种掩膜版和蒸镀***
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11715662B2 (en) * 2020-12-11 2023-08-01 Applied Materials, Inc. Actively clamped carrier assembly for processing tools
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11888082B2 (en) * 2021-09-29 2024-01-30 Dual Helios Semiconductor Equipment Company, Inc. Systems and methods for making solar panels or components thereof
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
EP4246598A1 (de) * 2022-03-16 2023-09-20 VON ARDENNE Asset GmbH & Co. KG Verfahren und vakuumsystem
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3498711A (en) * 1967-10-18 1970-03-03 Texas Instruments Inc Step and repeat camera
US3775644A (en) 1972-09-20 1973-11-27 Communications Satellite Corp Adjustable microstrip substrate holder
JPS57204547A (en) 1981-06-12 1982-12-15 Hitachi Ltd Exposing method
GB2155201B (en) 1984-02-24 1988-07-13 Canon Kk An x-ray exposure apparatus
US4599970A (en) * 1985-03-11 1986-07-15 Rca Corporation Apparatus for coating a selected area of the surface of an object
US4915057A (en) 1985-10-23 1990-04-10 Gte Products Corporation Apparatus and method for registration of shadow masked thin-film patterns
US4915564A (en) 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4699555A (en) 1986-05-08 1987-10-13 Micrion Limited Partnership Module positioning apparatus
US4913789A (en) * 1988-04-18 1990-04-03 Aung David K Sputter etching and coating process
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5489369A (en) 1993-10-25 1996-02-06 Viratec Thin Films, Inc. Method and apparatus for thin film coating an article
US5707745A (en) 1994-12-13 1998-01-13 The Trustees Of Princeton University Multicolor organic light emitting devices
JP3732250B2 (ja) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
JPH09143733A (ja) * 1995-11-16 1997-06-03 Canon Inc スパッタ膜の製造方法およびスパッタ膜
TW320687B (zh) 1996-04-01 1997-11-21 Toray Industries
JP3885261B2 (ja) * 1996-11-21 2007-02-21 東レ株式会社 基板支持具および基板の支持方法
US6084494A (en) * 1997-01-23 2000-07-04 Hewlett-Packard Company Shuntable magnetic mask support apparatus
US6083566A (en) 1998-05-26 2000-07-04 Whitesell; Andrew B. Substrate handling and processing system and method
JP2000048954A (ja) 1998-07-30 2000-02-18 Toray Ind Inc 有機電界発光素子の製造方法
US6146489A (en) * 1998-11-19 2000-11-14 General Electric Company Method and apparatus for depositing scintillator material on radiation imager
JP2000173769A (ja) 1998-12-03 2000-06-23 Toray Ind Inc 有機電界発光素子の製造方法
WO2000036468A1 (en) * 1998-12-14 2000-06-22 Koninklijke Philips Electronics N.V. Photomask with a mask edge provided with a ring-shaped esd protection area
TW552306B (en) 1999-03-26 2003-09-11 Anelva Corp Method of removing accumulated films from the surfaces of substrate holders in film deposition apparatus, and film deposition apparatus
JP2001049422A (ja) 1999-08-09 2001-02-20 Hitachi Ltd メタルマスクの基板への保持固定構造、保持固定治具、その補助具、及びトレイ
US6532975B1 (en) 1999-08-13 2003-03-18 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2001110567A (ja) 1999-10-08 2001-04-20 Toray Ind Inc 有機電界発光装置の製造方法
JP4453884B2 (ja) * 1999-11-24 2010-04-21 大日本印刷株式会社 スパッタ用メタルマスクおよびカラーフィルタの製造方法
JP2001203079A (ja) 2000-01-18 2001-07-27 Toray Ind Inc 有機電界発光装置の製造方法
JP2001247961A (ja) 2000-03-06 2001-09-14 Casio Comput Co Ltd 蒸着用スクリーンマスク、蒸着方法及び有機el素子の製造方法
JP2002008859A (ja) 2000-06-16 2002-01-11 Sony Corp パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法
JP2002009098A (ja) 2000-06-16 2002-01-11 Sony Corp パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法
WO2002042508A1 (fr) * 2000-11-21 2002-05-30 Nippon Yakin Kogyo Co., Ltd. Materiau en alliage nickel-fer destine a un masque perfore parfaitement adapte a la gravure
US6895294B2 (en) * 2000-12-04 2005-05-17 Freescale Semiconductor, Inc. Assembly comprising a plurality of mask containers, manufacturing system for manufacturing semiconductor devices, and method
JP4704605B2 (ja) * 2001-05-23 2011-06-15 淳二 城戸 連続蒸着装置、蒸着装置及び蒸着方法
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US6475287B1 (en) * 2001-06-27 2002-11-05 Eastman Kodak Company Alignment device which facilitates deposition of organic material through a deposition mask
US8796589B2 (en) 2001-07-15 2014-08-05 Applied Materials, Inc. Processing system with the dual end-effector handling
EP1437926B1 (en) * 2001-08-24 2008-08-27 Dai Nippon Printing Co., Ltd. Multi-face forming mask device for vacuum deposition
US6716656B2 (en) * 2001-09-04 2004-04-06 The Trustees Of Princeton University Self-aligned hybrid deposition
WO2003034471A1 (en) * 2001-09-04 2003-04-24 The Trustees Of Princeton University Self-aligned hybrid deposition
US6589382B2 (en) * 2001-11-26 2003-07-08 Eastman Kodak Company Aligning mask segments to provide a stitched mask for producing OLED devices
US6749690B2 (en) * 2001-12-10 2004-06-15 Eastman Kodak Company Aligning mask segments to provide an assembled mask for producing OLED devices
JP3996439B2 (ja) * 2002-05-16 2007-10-24 大日本印刷株式会社 有機el素子製造に用いる真空蒸着用マスク装置
NL1020633C2 (nl) 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.
KR100838065B1 (ko) * 2002-05-31 2008-06-16 삼성에스디아이 주식회사 박막증착기용 고정장치와 이를 이용한 고정방법
US6955726B2 (en) * 2002-06-03 2005-10-18 Samsung Sdi Co., Ltd. Mask and mask frame assembly for evaporation
JP3983113B2 (ja) * 2002-06-20 2007-09-26 Tdk株式会社 円板状基板用成膜装置に対する基板の受け渡し方法、基板受け渡しシステム、および当該方法を用いたディスク状記録媒体の製造方法
JP4072422B2 (ja) * 2002-11-22 2008-04-09 三星エスディアイ株式会社 蒸着用マスク構造体とその製造方法、及びこれを用いた有機el素子の製造方法
JP4380319B2 (ja) * 2002-12-19 2009-12-09 ソニー株式会社 蒸着装置および有機エレクトロルミネッセンス素子の製造方法
US7578647B2 (en) * 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
CN100468624C (zh) 2003-08-07 2009-03-11 株式会社尼康 曝光方法及曝光装置、载置台装置、及设备制造方法
KR101003699B1 (ko) * 2003-08-11 2010-12-23 주성엔지니어링(주) 섀도우 프레임을 포함하는 액정표시장치용 증착장치 및 그의 동작방법
JP4418262B2 (ja) * 2004-03-12 2010-02-17 三井造船株式会社 基板・マスク固定装置
JP4331707B2 (ja) * 2004-12-16 2009-09-16 三星モバイルディスプレイ株式會社 整列システム、垂直型トレイ移送装置及びこれを具備した蒸着装置
PL1715075T3 (pl) * 2005-04-20 2008-10-31 Applied Mat Gmbh & Co Kg Magnetyczny uchwyt maski
EP1715076B1 (de) * 2005-04-20 2009-07-22 Applied Materials GmbH & Co. KG Verfahren und Vorrichtung zur Maskenpositionierung
DE102005021048A1 (de) * 2005-05-06 2006-12-28 Infineon Technologies Ag Vorrichtung zum Stabilisieren eines Werkstücks bei einer Bearbeitung
JP5189370B2 (ja) 2006-02-01 2013-04-24 オリンパス株式会社 基板交換装置及び基板処理装置並びに基板検査装置
CN100368831C (zh) 2006-03-16 2008-02-13 曹国斌 一种采聚太阳能的掩模片及采用掩模片的太阳能装置
JP4614455B2 (ja) 2006-04-19 2011-01-19 東京エレクトロン株式会社 基板搬送処理装置
US20080006523A1 (en) * 2006-06-26 2008-01-10 Akihiro Hosokawa Cooled pvd shield
US8128333B2 (en) 2006-11-27 2012-03-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method for semiconductor devices
JP4753313B2 (ja) 2006-12-27 2011-08-24 東京エレクトロン株式会社 基板処理装置
JP2008274373A (ja) * 2007-05-02 2008-11-13 Optnics Precision Co Ltd 蒸着用マスク
JP5081516B2 (ja) * 2007-07-12 2012-11-28 株式会社ジャパンディスプレイイースト 蒸着方法および蒸着装置
US7847938B2 (en) 2007-10-01 2010-12-07 Maskless Lithography, Inc. Alignment system for optical lithography
EP2213761A1 (en) * 2007-11-30 2010-08-04 Canon Anelva Corporation Substrate processing apparatus and substrate processing method
JP2011507131A (ja) * 2007-12-06 2011-03-03 インテバック・インコーポレイテッド パターン化媒体を商業的に製造するシステム及び方法
US8138782B2 (en) 2008-01-10 2012-03-20 Applied Materials, Inc. Photovoltaic cell solar simulator
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20100111650A1 (en) 2008-01-31 2010-05-06 Applied Materials, Inc. Automatic substrate loading station
US7843295B2 (en) * 2008-04-04 2010-11-30 Cedar Ridge Research Llc Magnetically attachable and detachable panel system
EP2133444A1 (en) * 2008-04-18 2009-12-16 Applied Materials, Inc. Mask support, mask assembly, and assembly comprising a mask support and a mask
US8008174B2 (en) * 2008-10-10 2011-08-30 Alta Devices, Inc. Continuous feed chemical vapor deposition
US20090325367A1 (en) * 2008-05-30 2009-12-31 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
US8795466B2 (en) * 2008-06-14 2014-08-05 Intevac, Inc. System and method for processing substrates with detachable mask
DE102008037387A1 (de) * 2008-09-24 2010-03-25 Aixtron Ag Verfahren sowie Vorrichtung zum Abscheiden lateral strukturierter Schichten mittels einer magnetisch auf einem Substrathalter gehaltenen Schattenmaske
KR20110069851A (ko) * 2008-10-10 2011-06-23 알타 디바이씨즈, 인크. 기상증착을 위한 동심형 샤워헤드
EP2351871B1 (en) * 2008-10-21 2016-06-15 Ulvac, Inc. Mask and method for forming film using this mask
KR101202346B1 (ko) * 2009-04-16 2012-11-16 삼성디스플레이 주식회사 박막 증착용 마스크 프레임 조립체, 그 제조 방법 및 유기 발광 표시 장치의 제조 방법
ITUD20090214A1 (it) 2009-11-24 2011-05-25 Applied Materials Inc Effettore d'estremita' per la manipolazione di substrati
JPWO2011024853A1 (ja) * 2009-08-26 2013-01-31 キヤノンアネルバ株式会社 成膜装置
JP2011049507A (ja) 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
KR101206250B1 (ko) 2009-10-13 2012-11-28 주식회사 엘지화학 식각 마스크 패턴 형성용 페이스트 및 이의 스크린 인쇄법을 이용한 실리콘 태양전지의 제조방법
US20110141448A1 (en) 2009-11-27 2011-06-16 Nikon Corporation Substrate carrier device, substrate carrying method, substrate supporting member, substrate holding device, exposure apparatus, exposure method and device manufacturing method
JP5582895B2 (ja) 2010-07-09 2014-09-03 キヤノンアネルバ株式会社 基板ホルダーストッカ装置及び基板処理装置並びに該基板ホルダーストッカ装置を用いた基板ホルダー移動方法
EP2423350B1 (en) * 2010-08-27 2013-07-31 Applied Materials, Inc. Carrier for a substrate and a method for assembling the same
US9027739B2 (en) 2011-09-16 2015-05-12 Persimmon Technologies Corporation Wafer transport system
US8378318B1 (en) 2011-11-18 2013-02-19 Varian Semiconductor Equipment Associates, Inc. Fixed mask design improvements
WO2013106442A1 (en) * 2012-01-10 2013-07-18 Hzo, Inc. Masks for use in applying protective coatings to electronic assemblies, masked electronic assemblies and associated methods
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
CN104685095B (zh) 2012-04-19 2017-12-29 因特瓦克公司 用于制造太阳能电池的双掩模装置
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
US9082799B2 (en) 2012-09-20 2015-07-14 Varian Semiconductor Equipment Associates, Inc. System and method for 2D workpiece alignment
SG10201807710WA (en) 2014-02-20 2018-10-30 Intevac Inc System and method for bi-facial processing of substrates
CN106688088B (zh) 2014-08-05 2020-01-10 因特瓦克公司 注入掩膜及对齐

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
TWI696231B (zh) * 2014-02-20 2020-06-11 因特瓦克公司 基板的雙面加工系統及方法
US9543114B2 (en) 2014-08-05 2017-01-10 Intevac, Inc. Implant masking and alignment system with rollers
TWI739597B (zh) * 2020-09-15 2021-09-11 宏進金屬科技股份有限公司 製造散熱片的方法及散熱片

Also Published As

Publication number Publication date
JP2015520799A (ja) 2015-07-23
CN104685095B (zh) 2017-12-29
EP2839052A1 (en) 2015-02-25
MY167662A (en) 2018-09-21
CN104685095A (zh) 2015-06-03
US20130276978A1 (en) 2013-10-24
WO2013159050A1 (en) 2013-10-24
JP6243898B2 (ja) 2017-12-06
US9525099B2 (en) 2016-12-20
TWI518839B (zh) 2016-01-21
KR20150053733A (ko) 2015-05-18
SG10201608512QA (en) 2016-12-29
KR102104688B1 (ko) 2020-05-29
EP2839052A4 (en) 2015-06-10
SG11201406746RA (en) 2015-03-30

Similar Documents

Publication Publication Date Title
TWI518839B (zh) 製造太陽能電池使用之雙遮罩配置
US10115617B2 (en) System architecture for vacuum processing
US10679883B2 (en) Wafer plate and mask arrangement for substrate fabrication
TWI696231B (zh) 基板的雙面加工系統及方法
US10062600B2 (en) System and method for bi-facial processing of substrates
CN108290694B (zh) 用于衬底制造的晶圆板和掩模装置
US20070258184A1 (en) Electrostatic chuck of high density plasma deposition apparatus
KR20100126545A (ko) 프로세싱 챔버
TWI462212B (zh) Processing system and processing methods
JP4906012B2 (ja) 静電チャック
JP2014225514A (ja) 剥離装置、剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
CN110385527A (zh) 框架一体型掩模的制造装置
CN107210253A (zh) 基板移载***
JP4843731B2 (ja) 真空処理装置
TW201918441A (zh) 基板處理方法
JPH10107117A (ja) 基板処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees