TW200816379A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
TW200816379A
TW200816379A TW096128890A TW96128890A TW200816379A TW 200816379 A TW200816379 A TW 200816379A TW 096128890 A TW096128890 A TW 096128890A TW 96128890 A TW96128890 A TW 96128890A TW 200816379 A TW200816379 A TW 200816379A
Authority
TW
Taiwan
Prior art keywords
layer
conductive layer
insulating film
film
copper
Prior art date
Application number
TW096128890A
Other languages
English (en)
Inventor
Yoshiyuki Ohba
Toshihiko Hayashi
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Publication of TW200816379A publication Critical patent/TW200816379A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

200816379 九、發明說明: 【發明所屬之技術領域】 波置之方法;且更明確地 構之半導體裝置之方法, 間絕緣膜之間提供一自形 本發明係關於一種製造半導體 說,係關於一種製造具有鑲嵌結 其中,會在一互連或通道及一層 成阻障膜。 【先前技術】
在半導體裝置中形成一鋼(ClI、万、查 UL^U)互連的通用程序中會運用 到鑲散方法,I中會藉由填充設置在—層間絕緣膜之中的 互連溝渠來形成一互連圖案。在使用鑲礙方法來形成Cu互 連中,通常會以在埋置Cu之前先沉積一阻障膜(例如钽(Ta) 或氮化鉅(TaN)膜)使其具有約10 11〇1的膜厚度之方式,以 便覆蓋一互連溝渠的内壁,以達防止Cu擴散至層間絕緣膜 之中的目的。在藉由電解電鍍沉積該阻障膜之後,便會在 其上設置著該阻障膜的互連溝渠之中埋置一 Cu層。 不過,隨著互連間距變小時,Cu的埋置也變得更為困 難。此外,該阻障膜與總互連體積的體積比會變高,其會 提高互連電阻。為解決該些問題,已經有人提出一種解決 技術(參見 2005 IEEE International Interconnect Technology Conference 第 188 至 190 頁的 ’’Low Resistive and Highly
Reliable Cu Dual-Damascene Interconnect Technology Using Self-Formed MnSixOy Barrier Layer’’)。於此項技術 中並未沉積一阻障膜,不過卻會形成一由含Μη的Cu層所 形成的晶種層。再者,Μη會透過熱處置而擴散,從而會 120673.doc 200816379 在一層間絕緣膜與一 Cu互連間之介面處形成一由化合 物組成且膜厚度約2至3 nm的自形成阻障膜。 下文將參考圖3 A至3 C來說明此自形成阻障製程。首先 參考圖3 A,在由石夕晶圓所形成的基板i i之上會形成一由二 氧化矽(si〇2)所組成的層間絕緣膜12。而後,便會在該層 間絕緣膜12之中形成一抵達基板丨丨的通道孔丨3,並且接著 會在該通道孔13之中埋置一(例如)由嫣(w)所組成的通道 14 〇 接著,便會在該層間絕緣膜12與該通道14之上形成一由 Si〇2所組成層間絕緣膜15。接著’便會在該層間絕緣膜^ 之中形成一會抵達層間絕緣膜丨2與通道丨4的互連溝渠丨6。 而後,便會在該層間絕緣膜15之上形成一由CuMn層所形 成的電鍍晶種層17f。 接著參考圖3B,藉由電解電鍍,會以在該電鍍晶種層 17’之上形成一由純以所構成的導電層18之方式,以便填 充該互連溝渠1 6。 接著參考圖3C,其會進行熱處置,用以讓該電鍍晶種層 17'中内含的Μη與該等層間絕緣膜12與15中的成分進行反 應,從而在該電鍍晶種層17’與該等層間絕緣膜12與15之間 的介面處形成一由Μη化合物所組成的自形成阻障膜丨9。 升y成此自形成阻p早膜19以具有一 2 nm至3 nm之膜厚度。透 過熱處置還會在該導電層18表面側上離析出Μη,因而會 形成氧化猛(ΜηΟ)層Μ。 而後,圖中雖然並未顯示,不過藉由化學機械拋光法 120673.doc 200816379 便▲會移除不需要作為互連圖案的導電層is部分虚自 :成且_ 19並且向下拋光曝露的層間 側,以便在該互連溝渠此中形成—互連。 在為導電層18提供良好覆蓋方面’上面所述的製造方法 k於運用Ta或TaN阻障膜的典型埋置程序,因為透過該電 鍍b曰種層17中的Mn與該等層間絕緣膜以與15中的成分進
:反應會形成具有較小厚度的自形成阻障膜Μ。再者,此 製造方法還進-步提供達成具有低電阻之互連的優點,因 為°亥自形成阻障臈1 9的膜厚度小於Ta或TaN阻障膜的厚 度。 ' 【發明内容】 然而’上面所述的製造方法卻涉及下面的問題。明確地 δ兒’倘若電鍍晶種層1 7’中Μη的濃度不足的話,那麼圖3c 中所述步驟便無法形成如圖4中所示之連續的自形成阻障 膜19。這會因在該熱處置之初始階段處的劇烈應力變化導 致該導電層1 8與該等層間絕緣膜丨2與1 5之間的黏著性下降 的關係’而造成導電層1 8分離。為防止此情形,可以有效 提高該電鍍晶種層17彳參見圖3C)中的Μη濃度,促成該自 形成阻障膜19的形成。不過,因為Μη的電阻高於Cu,所 以’提高Μη濃度會造成電鑛晶種層17’具有較高的薄片電 阻。這會導致必須在該電鍍步驟中應用龐大的電流,且因 而會提高該電鍍步驟的負擔。這會導致橫跨基板11平面上 之導電層18的電鍍成長不均勻,其會造成導電層18具有很 低的覆蓋均勻性。再者,電鐘晶種層1 7’之表面側上的Μη 120673.doc 200816379 很合易各析在電鍍溶液中,其會導致的問題係,被溶析在 忒電鍍溶液中的%11會連同該導電層18一起被埋置在該互 連溝渠16之中,並且因而提高互連電阻。 斤乂本發明必須提供一種製造半導體裝置的方法,用 以防止導電層分離,同時抑制電鍍步驟上的負擔,並且允 許增強橫跨該基板平面上之導電層的覆蓋均勻性,同時抑 制互連電阻提高。 在根據本發明具體實施例的製造半導體裝置之方法中會 依序實行下面步驟。首先,會在位於_基板上方的絕㈣ 成凹邛。接著會已依序沉積一由銅(Cu)及非Cu金 屬所組成的合金層以及一主要由〜所組成的導電層來產生
*電錢曰曰種層之方式來形成,用以覆蓋該凹部的内壁。接 者,便會藉由電鍍將主要由Cu所組成的導電層埋置在其上 會设置該電錢晶種層的凹部之中。接著便會進行熱處置, 以便:襄該合金層中的金屬與該絕緣膜之中的成分進行反 應攸而形成一由金屬化合物所組成的阻障膜,其在該合 金層與該絕緣膜間的介面處具有Cu擴散阻障功能。 根據此製造半導體裝置的方法,即使該合金層中内含的 非Cu金屬的電阻很高,該電鑛晶種層的薄片電阻仍會低於 僅由该合金層所構成之電鑛晶種層17,的薄片電阻,因為該 電:曰曰種層係藉由依序沉積該合金層及該主要由α所組成 產生而形成的。所以,即使將該合金層中該金 電一連續阻障膜的程度,仍可抑制該 曰4片電阻的提高。這便無需在電鍍步驟中應 120673.doc 200816379 用魔大的電流,且因而會抑制電鑛步驟的負擔。因此,抑 制包銀步驟的負擔,便能夠藉由提高該合金層中該金屬的 濃度而在該合金層與該絕緣膜間的介面處形成-連續的阻 障膜。這會提高該導電層與該絕緣膜之間的黏著性,其能 夠防止4導電層/刀离•。此外,由於該電鍍晶種層之低薄片 電阻的關係、,所以會抑制橫跨該基板平面上該導電層之電 鍍成長不均勻性並且提高該導電層之覆蓋均勻⑯。再者, Ο u 因為該電鍍晶種層中的合金層受到主要由Cu所組成之導電 層覆蓋,戶斤以Μ更可在該電錢步驟中防止該合金金屬之表 面側上的金屬溶析在電㈣液之中。這可防止在藉由電鍵 以該導電層來填出該凹部時因連同該導電層將被溶析在該 電鍍洛液中的金屬埋置在該凹部之中而提高該導電層的電 阻。 如上所述,根據本發明具體實施例之製造半導體裝置的 方法能夠防止導電層分離,且因而能夠提高該半導體裝置 的產量。再者,還會提高橫跨該基板平面上該導電層之覆 蓋均勻性,其能夠抑制利用(例如)CMP來進行該導電層之 拋光中會出現的淺碟(dishing)與侵|虫(erosi〇n)。再者,還 可防止導電層之電阻提高。結果,當該凹部係一互連溝渠 而該導電層係一互連時,便能夠防止互連電阻提高且能夠 提高互連可靠度。 【實施方式】 以下將參考附圖來詳細說明本發明之具體實施例。 (第一具體實施例) 120673.doc 10 200816379 根據本發明第一纟體實施例之製造半導體裝置的方法係 和形成單一鑲嵌互連結構有關。下文將參考圖以至”作為 製造步驟斷面圖來說明帛—具體實施例。在下面說明中, 和相關技術中相同的組件會給定相同的符號。 首先參考圖1A,在由矽晶圓所構成的基板!丨(其上會構 成各種元件例如電晶體)之上會構成一(例如)由;§i〇2所植 成的層間絕緣膜12。而後’便會形成—抵達基板u的通道 ( 1
孔13,並且接著會在該通道孔13之中埋置一(例如)由w所 組成的通道1 4。 而後,便會使用矽烷(SiH4)作為沉積氣體利用(例如)電 襞增強化學氣相沉積(PECVD)在該層間絕緣膜㈣該通道 14之上形成—由Si〇2所組成的層間絕緣膜丨5。 而後,便會在該層間絕緣膜15之上形成一具有互連溝渠 圖案的光P且圖案(圖中並未顯示),並且接$會利用此光阻 圖案作為遮罩來進行㈣而於該層間絕緣膜Η之中形成一 互連溝渠叫凹部)。此互連溝渠16的孔徑寬度係75_。 現在蒼考圖1B,(例如)葬由% U JJ猎由物理矾相沉積(pvD),例如 使用CiiMn合金目標進行濺鍍,合 / 数 θ以在忒層間絕緣膜15之 上形成一由CuMn所組成之合金声 、、 孟滑1 /a之方式,用以覆蓋該 互連溝渠16的内壁。Μη的電p且古於Γι AA兩 J电丨且同於Cii的電阻。再者,在 稍後步驟中藉由熱處置,該八 〇孟層1 7a中的Μη便會與該等 層間絕緣膜12與1 5中的成分彳隹c虚 進仃反應,從而形成一自形成 阻障膜。 所以 該合金層17a中Μη的 濃度及該合金層1 7a的膜厚 120673.doc 200816379 度會被疋義在特定範圍内。明確地說,Μη濃度及膜厚度 等數值會等於或大於在稍後步驟中透過熱處置用以在該合 金層17a及該等層間絕緣膜12與15間的介面處形成一連續 自形成阻障膜的下限。此外,Μη濃度及膜厚度等數值還 • 纟等於或小於用以產生形成在該互連溝渠16之中且含有剩 • 餘Mn的互連之互連電阻以及因將主要由Cu所組成之導電 層堆疊在該合金層17a之上(稍後會作說明)而產生的電鍍晶 f) 種層薄片電阻之允許上限的上限。 明確地說,合金層17a中Mn濃度係在1原子百分比至1〇 原子百分比之範圍,且較佳的係,該Mn濃度係在2原子百 分比至6原子百分比之範圍。再者,該合金層17&的膜厚度 會被定義為不大於一特定值,俾使藉由稍後的電鍍以導電 層來進行填充的品質不會受到破壞且至多為上面所述之上 限。明確地說,在不具有互連溝渠圖案的平滑部分中,合 金層17a的膜厚度係在丨〇 nm至50 nm之範圍。舉例來說, 在本範例中,该合金層1 7a形成以具有一 30 nm之膜厚度。 接著參考圖1C,在合金層l7a之上,會形成一(例如)由 純Cu所組成的導電層17b,其膜厚度(例如)為3〇 。這會 藉由依序沉積該合金層17a與該導電層17b所產生而形成一 電鍍晶種層17。這會讓由純Cii所組成的導電層17b覆蓋該 合金層17a的表面側。所以,相較於僅由CuMn所組成之合 金層17a所形成的電鍍晶種層,電鍍晶種層17會具有較低 的薄>1電阻。這會抑制稍後要說明之用於將一導電層埋置 在互連溝渠1 6的電鍍步驟的負擔。 120673.doc -12- 200816379 在本範例中,導電層17b係由純Cu所組成的。不過,導 電層17b的材料可為任何材料,只要其係包含作為其主 成分即可。舉例來$,亦可使用CuAg合金,其僅會小幅 提南電阻率。 «亥V電層1 7b的膜厚度會被設定成讓該電鍍晶種層口的 薄片電阻會被抑制在允許範圍内且藉由電鍍以導電層以來 進行填充的。口夤如上述並不會遭到破壞。明確地說,在不 具有互連溝渠圖案的平滑部分中,導電層17b的膜厚度係 在10 nm至50 nm之範圍。在本範例中,該導電層i7b形成 以具有一 30 nm之膜厚度。 接著麥考圖1D,(例如)藉由電解電鍍,以會在該導電層 17b之上形成一(例如)由純Cu所構成的導電層18直到膜厚 度為800 ^或更大之方式,以便填充該互連溝_。在此 =置中,橫跨基板U之平面上該導電層18的覆蓋均勻性非 常南,因為如上所述,該電鍍晶種層17的薄片電阻非常 低。再者,藉由純(^所組成的導電層i7b覆蓋該合金層Pa 的表面側。這會防止該合金層17a之表面側上的Μη溶析在 電鍍/合液之中,並且從而防止連同該導電層Μ將被溶析在 該電鍍溶液中的]^11埋置在該互連溝渠16之中。因此便會 防止互連電阻提高。再者,還可避免因被溶析在該電鑛溶 液中的Μη的關係而對電鍍步驟造成負面效應。 在本乾例中,導電層1 8係由純Cu所組成的。不過,導電 層1口8的材料可為任何材料,只要其^包含Cu作為其主成分 即可。舉例來說,亦、可使用CuAg合金,其僅會小幅提高 120673.doc 200816379 電阻率。 接著簽考圖1E,舉例來說,其會在3〇〇。(:處進行3〇分鐘 的熱處置。此熱處置會瓖合金層17a中的Mn(參見圖1〇)與 該等層間絕緣膜12與15中的成分進行反應,從而在該合金 層17a與該等層間絕緣膜12與15間的介面處形成一具有防 止Cu擴散功能的自形成阻障膜19。較佳的係,用以形成該 自形成阻障膜19之熱處置的溫度及處置時間分別為2〇〇。〇 Ο 至40〇t及60秒至兩小時,以促成確保形成該自形成阻障 膜19且防止因該熱處置而在該裝置上造成負面效應。更佳 的係,處置時間係為60秒與3〇分鐘之間。該等層間絕緣膜 12與15中的”成分’’同樣涵蓋會從環境中被料層間絕緣膜 12與1 5表面吸收的氧、水等。 在本具體實施例中,該等層㈤絕緣膜12與15係由叫所 組成’所以該自形成阻障膜19係由Mn化合物所組成,例 如含石夕的Μη氧化物(MnSix〇y)或是施氧化物(Mn办)。該 , 自形成阻障膜19的膜厚度係2 至3 nm。合金層17a含有 具有此一高濃度的Mn ’以便形成連續的自形成阻障膜 19。相較於現存的方法,這允許供應較大量的Mn至該合 金層17a與該等層間絕緣膜12與15間的介面處,其允許形 成堅韋刃的連續自形成阻障膜19並且提供極高的黏著性。這 會防止因在該熱處置之初始階段處的急據應力變化而出現 j導電層18分離。再者’還可確保該熱處置的條件會具有 ' κ勺邊限自於此熱處置的關係,同樣會在該導電8 表面側上離析出Mn,其會形成Mn〇層m。 田 120673.doc -14- 200816379 接著 > 考圖1F,其會藉由(例如)CMp來進行雙級抛光。 在第一級拋光中,會移除乂的層叫參見圖1E)及不必作為 互連圖案的導電層18的部分(參見圖1E)。接著,在第二級 抛光中,會移除該自形成阻障膜j 9,並且會將曝露的層間 絕緣膜15向下拋光⑽nm。這會在該互連溝渠“之中形成 由Cu所、,且成的互連丨8,。因為上述的自形成阻障膜1 9係 位在该導電層18與該等層間絕緣膜12與15間的介面處,所 以可防止因該CMP步驟而造成該導電層18分離,且因而可 確保該CMP的條件會具有寬廣的邊限。 在該CMP步驟之後會使用檸檬酸水溶液、草酸水溶液等 來進行有機酸清洗,從而移除該互連18,之上的氧化物膜以 及在該CMP步驟之後仍殘留在該以表面上之Cu的抗腐蝕 劑(例如苯并三㈣生物)。而&,便會使用含石夕的材料(例 如三甲基矽烷(3MS)、氨(NH3)等)作為沉積氣體,利用 CVD在該互連18,與該層間絕緣膜15之上沉積一(例如)由碳 氮化矽(SiCN)所組成的覆蓋膜20至膜厚度為5〇 nm。 在上面所述的製造半導體裝置的方法中,會如配合圖 所述般地依序沉積該合金層17a及由純以所組成的導電層 17b所產生而形成該電鍍晶種層17。這能夠在合金層 提供增加的Μη濃度,同時抑制電鍍步驟的負擔。因此 便可在該合金層17a與該等層間絕緣膜12與15間的介面# 形成連續的自形成阻障膜19。這會提高該導電層18與咳= 層間絕緣膜12與15之間的黏著性,其能夠防止該導電層u 分離。結果,便能夠提高半導體裝置的產量。 #节’逛可 120673.doc -15· 200816379 確保用於形成該自形成阻障膜19的熱處置的條件及用於抛 光該導電層1 8的CMP的條件會具有寬廣的邊限。 此外,電鍍晶種層17的薄片電阻亦可設定為較低,其能 夠提面橫跨基板11之平面上該導電層18的覆蓋均勻性。所 以,便能夠抑制利用CMP來進行該導電層18之拋光中的淺 碟與侵蝕,其能夠提高互連可靠度。 再者,因為該合金層17&會被由純Cu所組成的導電層17b 〇 覆蓋,所以便可防止在該電鍍步驟中讓Μη溶析在電錢溶 液中。這可防止因連同該導電層18將]^11埋置在該互連溝 渠16之中而提高該互連18,的電阻。 表1顯示電鍍晶種層(1)(其會被套用根據本發明具體實施 例之製ie半導體裝置的方法)以及電鑛晶種層(2)與(3)(它們 並不會被套用本發明具體實施例)之薄片電阻數值的比較 結果。
n層(d金層17 a)之上沉積一膜厚度30 nm之純Cu層(導 電層17b)所獲得的。電鍍晶種層(2)係由膜厚度6〇 A%-含MiU^CuMn層所形成的。如表中所示,可以確定的 係,電鍍晶種層(1)的薄片電阻會遠低於電鍍晶種層(2)的 120673.doc -16- 200816379 薄片電阻。電鍍晶種層(3)係由膜厚度6〇 nm之A%_含 CuMn層所形成的,所以,Μη濃度為電鍍晶種層(2)Mn濃 度的一半。如表中所示,可以確定的係,電鍍晶種層(1)的 薄片電阻會低於電鍍晶種層(3)的薄片電阻,不過,電鍍晶 種層(3)的總Μη濃度則會等於電鍍晶種層(1)的總Mn濃度。 結果,可以確定的係,相較於僅由該合金層17a來形成該 電鍍晶種層1 7的情況,透過在由CuMn所組成的合金層丨 Ο 之上沉積由純〜所組成的導電層17b便會大幅地降低該電 鐘晶種層17的薄片電阻。 (第二具體實施例) 下文將參考圖2A至2K作為製造步驟斷面圖來說明根據 本發明第二具體實施例之製造半導體裝置的方法。為說明 根據本發明第二具體實施例的方法,現在將說明會在第一 具體實施例中所述之覆蓋膜上方形成一雙镶欲互連結構的 範例。 〇 首先參考圖2A,在覆盍膜20之上,(例如)會藉由pE_ CVD來’儿積一(例如)由Si〇2所組成的層間絕緣膜2 1至膜厚 度為350 nm。接著,便會在該層間絕緣膜^之上形成一具 ㈣道孔圖案的光阻圖案(圖中並未顯示),並且接著㈣ 此光阻®案作為遮罩來進行似彳而形成—會抵達該覆蓋 膜20的通道孔22a。 接著參考圖2B,以在層間絕緣膜21之上會塗敷一光阻r 之方式’用以填充該通道孔22a。接著便會在該光阻r之上 形成一旋塗玻璃(S0G)膜,並且接著會在該s〇g膜之上形 120673.doc 17 200816379 成一具有互連溝渠圖案的光阻圖案(圖中並未顯示)。而 後,便會利用該光阻圖案作為遮罩來進行_以處理該 SOG膜’從而形成一硬遮罩23。 /接著參考圖2C,其會利用該硬遮罩23作為#刻遮罩來進 行蝕刻以處理該光阻R(參見圖π),從而形成—具有互連
溝渠圖案的光阻圖案R、覆蓋該通道孔22a之底部的光阻R 會被留下。 η ο 接著參考圖2D,其會利用該硬遮罩23(參見圖2C)與該光 阻圖案R,作為㈣遮罩來進行㈣,在該層間絕緣膜21的 上方側之中形成一會與該通道孔22a通連的互連溝渠。 這S幵y成一雙鑲肷孔徑22(凹部),其係由該互連溝渠22b及 與該互連溝渠22b之底部通連的通道孔22a所組成。該互連 溝渠22b的深度會透過控制蝕刻時間來控制。該通道孔22& 的孔徑寬度與深度分別為75 11111與11〇 nm。該互連溝渠22b 的孔徑寬度與深度分別為75 11]11至1〇〇 nm&15〇 nm。因為 光阻R會留在通道孔22a内部,所以可防止蝕刻該通道孔 22a的側壁’並且從而保持側壁的垂直性。 接著餐考圖4E,其會藉由灰化與化學清洗來移除光阻圖 案R’(參見圖2D)與光阻R(參見圖2〇),以便曝露出該通道 孔22a底部處的覆蓋膜2〇。 接著’如圖2F中所示,該通道孔22a底部處的覆蓋膜2〇 會被移除’從而曝露出該互連,的表面。 接著參考圖2G,其會(例如)藉由濺鍍而在該層間絕緣膜 ry 1 p , 形成一由CuMn合金所組成的合金層24a,用以覆蓋 120673.doc -18- 200816379 该雙鑲嵌孔徑22的内壁。和第一具體實施例雷目,合金層 24a中Μη濃度係在1原子百分比至1〇原子百分比之範圍, 且較佳的係,該Μη濃度係在2原子百分比至6原子百分比 之範圍。在不具有任何互連溝渠圖案的平滑部分中,合金 層24a的膜厚度係在1〇11]11至5〇11111之範圍。 接著參考圖2H,其會在合金層24a之上形成一(例如)由 純Cu所組成的導電層24b。這會藉由依序沉積該合金層2乜 〇 與該導電層24b所產生而形成一電鍍晶種層24。和第一具 體實施例雷同,在不具有任何互連溝渠圖案的平滑部分 中’此導電層24b的膜厚度係在1〇ηηι至50 nm之範圍。 接著參考圖21,以在該(^層2413之上會形成一由純⑸所 組成的導電層25之方式,以便填充該雙鑲嵌孔徑22。 接著參考圖2J,舉例來說,其會在3〇(rc處進行3〇分鐘 的熱處置。此熱處置會讓合金層24a中的Mn(參見圖21)與 該層間絕緣膜21的成分進行反應,從而在該合金層2牦與 L) 該層間絕緣膜21間的介面處形成一具有防止Cu擴散功能由 Μη化合物所組成的自形成阻障膜26。和第一具體實施例 雷同’該層間絕緣膜2 1係由Si〇2所組成,所以該自形成阻 障膜26係由含矽的Mn氧化物(MnSix〇y)或是Mn氧化物 (Mnx〇y)所組成。該自形成阻障膜26的膜厚度係2 nm至3 nm ° 接著參考圖2K,其會(例如)藉由CMP來進行雙級拋光。 在第一級拋光中,會移除MnO層M(參見圖2J)及不必作為 互連圖案的導電層25部分(參見圖2J)。接著,在第二級拋 120673.doc -19- 200816379 光中,會移除該自形成阻障膜26,並且會將外露的層間絕 緣膜21向下拋光100 nm。這會導致在該通道孔22&之中形 成會與該互連18’通連的通道25a,以及在該互連溝渠2孔之 中形成一互連25b’。 接著,便會使用檸檬酸水溶液、草酸水溶液等來進行有 機酸清洗,從而移除該互連25b,之上的氧化物膜以及在該 CMP步驟之後仍殘留在該Cu表面上之以的抗腐蝕劑。而 〇 後便會在該互連25b,與該層間絕緣膜21之上沉積一(例如) 由SiCN所組成的覆蓋膜27至膜厚度為5〇 。 在此製造半導體裝置的方法中,會如配合圖2(}與2]^所 述般地依序沉積由CuMn所組成的合金層24a及由純Cu所組 成的導電層24b以產生而形成該電鍍晶種層24。此能夠提 供和第一具體實施例所提供者相同的優點。 在上面所述之根據第一與第二具體實施例的範例中,該 等合金層17a與24a係由CuMn所組成。除了 Μη以外,該等 j 合金層1化與24&中内含的非Cu金屬範例包含:鋁(Α1)、鋅 (Ζη)、鉻(Cr)、釩(V)、鈦(Ti)、以及鈕(Ta)。舉例來說, 當合金層17a與24a係由CuAl所組成時,那麼便會形成(例 如)έ石夕的A1氧化物(AlSixOy)或A1氧化物(Alx〇y)作為自形 成阻障膜19。當合金層17a與24a係由CuZnm組成時,那麼 便會形成(例如)含矽的Ζη氧化物(ZnSix〇y)或Zn氧化物 (ZnxOy)作為自形成阻障膜19。同樣地,對上面所述的其它 金屬來說,亦可形成雷同的矽化合物或氧化物。 在上面所述的具體實施例中,係以含矽的氧化物 120673.doc -20- 200816379 (MnSixOy)或Μη氧化物(Mnx〇y)作為該等自形成阻障膜μ與 26的Μη化合物。不過,於特定的情況中,倘若該等層間 絕緣膜12、15、以及21係由含碳的絕緣膜(例如有機絕緣 膜)所構成的活,那麼便會形成Μη碳化物(MnxCy)作為該等 自形成阻障膜19與26 WMn化合物。再者,於特定情況 中,倘若使用上面所述CuAi4CuTi作為合金層17a的話, 那麼便會形成A1奴化物(AixCy)或碳化鈦(TixCy)。同樣地,
對上面所述的其它金屬來說,亦可形成雷同的金屬碳化 物0 热悉本技術人士應瞭解,於隨附申請專利範圍或宜等嗖 範圍之範相,可依據料需求與其它因素來進行錄修 改、組合、子組合、以及變更。 【圖式簡單說明】 圖1A至1F係解釋根據發明第—具體實施例之製造半導 體裝置之方法的製造步驟之斷面圖; 至⑽解釋用於根據本發明第二具體實施例之製 仏半¥體裝置之方法的製造步驟之斷面圖; 驟之斷面圖;A <見存方法的製造步 圖4係解釋和製造半導體 斷面圖。 破置之現存方法有關的問題之 【主要元件符號說明】 11 基板 層間絕緣膜 120673.doc 200816379
Ο 13 通道孔 14 通道 15 層間絕緣膜 16 互連溝渠 17 電鍍晶種層 17a 合金層 17b 導電層 171 電鍍晶種層 18 導電層 181 互連 19 自形成屏障阻障膜 20 覆蓋膜 21 層間絕緣膜 22 雙鑲嵌孔徑 22a 通道子L 22b 互連溝渠 23 硬遮罩 24 電鍍晶種層 24a 合金層 24b 導電層 25 導電層 25a, 通道 25bf 互連層互連 26 自動形成自形成屏障阻障膜 120673.doc -22- 200816379 27 蓋膜覆蓋膜 Μ 氧化猛層 R 光阻 R’ 光阻圖案 120673.doc -23

Claims (1)

  1. 種製造半導體裝置的方法,該方法包括下面步輝 在位於一基板上方的絕緣膜之中形成一凹部;' ’該電 成的合 上會設
    Ο 200816379 十、申請專利範圍·· 以覆蓋該凹部内壁之方式來形成一電錢晶種層 鍍晶種層係藉由依序沉積一由銅與一非銅金屬結 金層以及—主要由Cu所組成的導電層而產生的,· 藉由電鑛將主要由銅所組成的導電層埋置在其 置該電鑛晶種層的凹部之中;以及 進行熱處置,以便讓該合金層中的金屬與該絕緣膜之 中:成分進行反應,從而形成—由金屬化合物所組成的 阻p早膜,其在該合金層與該絕緣膜間的介面處具有銅擴 散阻障功能。 〃 2·如請求項丨之製造半導體裝置的方法,其中 該非鋼金屬係錳,而該金屬化合物則係氧化錳。 3·如請求項丨之製造半導體裝置的方法,其中 该非鋼金屬係猛,而該金屬化合物則係含矽的氧化 !孟〇 120673.doc
TW096128890A 2006-08-17 2007-08-06 Method for manufacturing semiconductor device TW200816379A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006222194A JP2008047719A (ja) 2006-08-17 2006-08-17 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
TW200816379A true TW200816379A (en) 2008-04-01

Family

ID=39181156

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096128890A TW200816379A (en) 2006-08-17 2007-08-06 Method for manufacturing semiconductor device

Country Status (4)

Country Link
US (1) US20080173547A1 (zh)
JP (1) JP2008047719A (zh)
KR (1) KR20080016463A (zh)
TW (1) TW200816379A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102760694A (zh) * 2011-04-27 2012-10-31 新加坡商格罗方德半导体私人有限公司 形成氧化物经封装传导形体的方法
CN109216262A (zh) * 2017-06-29 2019-01-15 台湾积体电路制造股份有限公司 半导体装置的内连结构

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5076452B2 (ja) * 2006-11-13 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2010021490A (ja) * 2008-07-14 2010-01-28 Kobe Steel Ltd 半導体配線
US8653664B2 (en) 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8852674B2 (en) 2010-11-12 2014-10-07 Applied Materials, Inc. Method for segregating the alloying elements and reducing the residue resistivity of copper alloy layers
CN102427040A (zh) * 2011-07-01 2012-04-25 上海华力微电子有限公司 一种在层间介质层中自形成含锰硅氧化合物阻挡层的方法
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
US8881209B2 (en) 2012-10-26 2014-11-04 Mobitv, Inc. Feedback loop content recommendation
US9425092B2 (en) * 2013-03-15 2016-08-23 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices
US10276436B2 (en) 2016-08-05 2019-04-30 International Business Machines Corporation Selective recessing to form a fully aligned via
CN109216265B (zh) * 2018-08-31 2021-07-27 上海华力微电子有限公司 一种形成金属扩散阻挡层的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6406609B1 (en) * 2000-02-25 2002-06-18 Agere Systems Guardian Corp. Method of fabricating an integrated circuit
US6451664B1 (en) * 2001-01-30 2002-09-17 Infineon Technologies Ag Method of making a MIM capacitor with self-passivating plates
US20030146102A1 (en) * 2002-02-05 2003-08-07 Applied Materials, Inc. Method for forming copper interconnects
JP4478038B2 (ja) * 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
JP4321570B2 (ja) * 2006-09-06 2009-08-26 ソニー株式会社 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102760694A (zh) * 2011-04-27 2012-10-31 新加坡商格罗方德半导体私人有限公司 形成氧化物经封装传导形体的方法
CN109216262A (zh) * 2017-06-29 2019-01-15 台湾积体电路制造股份有限公司 半导体装置的内连结构
CN109216262B (zh) * 2017-06-29 2023-07-14 台湾积体电路制造股份有限公司 半导体装置的内连结构与其形成方法

Also Published As

Publication number Publication date
US20080173547A1 (en) 2008-07-24
KR20080016463A (ko) 2008-02-21
JP2008047719A (ja) 2008-02-28

Similar Documents

Publication Publication Date Title
TW200816379A (en) Method for manufacturing semiconductor device
JP4321570B2 (ja) 半導体装置の製造方法
US7994055B2 (en) Method of manufacturing semiconductor apparatus, and semiconductor apparatus
US6229211B1 (en) Semiconductor device and method of manufacturing the same
JP4236201B2 (ja) 半導体装置の製造方法
US6787460B2 (en) Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses and conductive contacts so formed
US7790617B2 (en) Formation of metal silicide layer over copper interconnect for reliability enhancement
KR100623556B1 (ko) 상호 접속 구조 및 그 제조 방법
TW200532833A (en) Interconnect and method of fabricating the same
US7101790B2 (en) Method of forming a robust copper interconnect by dilute metal doping
JP2007059660A (ja) 半導体装置の製造方法および半導体装置
JP5255198B2 (ja) 導体−誘電体相互接続構造を製造するための方法
US20100009530A1 (en) Semiconductor device fabrication method
JP5481989B2 (ja) 半導体装置の製造方法
JP2010045255A (ja) 半導体装置及び半導体装置の製造方法
US7816267B2 (en) Method for forming inlaid interconnect
JP2005005383A (ja) 半導体装置および半導体装置の製造方法
US8536704B2 (en) Semiconductor device and method for fabricating the same
JP2008060431A (ja) 半導体装置の製造方法
JP2010040771A (ja) 半導体装置の製造方法
JP2007335578A (ja) 半導体装置及びその製造方法
JP2000208517A (ja) 半導体装置の製造方法
CN109216265B (zh) 一种形成金属扩散阻挡层的方法
JP2003309082A (ja) 半導体装置の構造
JP4786680B2 (ja) 半導体装置の製造方法