TW200416775A - Loadport apparatus and method for use thereof - Google Patents

Loadport apparatus and method for use thereof Download PDF

Info

Publication number
TW200416775A
TW200416775A TW92119301A TW92119301A TW200416775A TW 200416775 A TW200416775 A TW 200416775A TW 92119301 A TW92119301 A TW 92119301A TW 92119301 A TW92119301 A TW 92119301A TW 200416775 A TW200416775 A TW 200416775A
Authority
TW
Taiwan
Prior art keywords
door
load port
opening
open
front open
Prior art date
Application number
TW92119301A
Other languages
English (en)
Inventor
Jeffrey M Kowalski
Original Assignee
Asml Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Us Inc filed Critical Asml Us Inc
Publication of TW200416775A publication Critical patent/TW200416775A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)
  • Control By Computers (AREA)
  • Control Of Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Fluid Pressure (AREA)
  • Control Of Non-Electrical Variables (AREA)

Description

200416775 Π) 玖、發明說明 相關應用之參考文獻 本申請案申明以下先前申請之臨時申請案之權益及優 先權,美國臨時專利申請序號6 0/3 9 6,5 3 6,標題”Thermal Processing System”申請日期July 15,2002和美國臨時專 利申請序號 6 0 / 4 2 8,5 2 6,標題’’ T h e r m a 1 P r 〇 c e s s i n g S y s t e m and Method for Using the Same'1 申請日期 November 22,2002。兩者在此被它們的參考資料合倂。 【發明所屬之技術領域】 本發明與一般半導體處理設備相關。特別的,本發明 與負載璋裝置及使用該裝置的方法有關。 【先前技術】 種種的處理設備和裝置被廣泛的用在積體電路和半導 體晶圓製造上。如此的設備典型的位於一個半導體製造設 施並且g亥半導體晶圓被傳輸貫穿該製造設施到適當的設備 來處理。藉著工業的發展,積體電路和半導體裝置變的更 複雜而且通常包括複雜精細的裝置和互相連接的多層製 成。該裝置的大小大幅的降低,因此顯著的增加在單一半 導體晶圓上製成的裝置的數目。結果,當一個晶圓經由在 製造設施的該處理設備發展時,半導體晶圓的價値大大的 增力口。 當經由該製程裝置移動半導體晶圓時和當轉移該晶圓 -4 - (2) (2)200416775 進出處理裝置時,相當的保護必須被實行來降低損壞和/ 或污染該晶圓的風險。更近一步的,該晶圓必須被保持在 一個乾淨場所的環境並且在一個控制的空氣裏處理。一批 晶圓通常被運送貫穿該製程裝置,並且經由一個密閉的前 開放一體式縱槽(前開放一體式縱槽)進出該處理裝置,其 中該前開放一體式縱槽爲眾所皆知的並且被工業界高度標 準化。該批晶圓被儲藏在該密閉的前開放一體式縱槽的內 部,此前開放一體式縱槽通常在保持在高度淸潔狀態。當 相當的保護必須被實行在晶圓運輸時,速度和生產量是最 重要的。因此,該半導體工業已經投入顯著的努力在晶圓 運輸設計和系統的發展上。 在特定的用途上,X量的晶圓成批的一起被處理。例 如,大型垂直鎔爐通常被用來做晶圓熱處理以完成熱處 理,例如退火,擴散或摻雜物注入。美國專利文號。 4,7 7 0 ; 5 9 0公開一個具有傳輸晶圓機械和船形容器交換單 元的大型垂直鎔爐的例子。一個卡式盒支架系統2 7具 有一些在一個垂直桿6 3上的卡式盒支撐架子6 1,其垂直 桿63依次的被個別的驅動機械65來操作以幫助卡式盒載 入和載出卡式盒之架系統27。一個晶圓轉移模組29在處 理後操作完成晶圓的傳輸從該船型容器3 9到空的卡式 盒,和未完成晶圓的傳輸從卡式盒到從該船型容器3 9來 處理。在一個如此大的鎔爐,每一個處理周期通常需要 大量的時間,如此當晶圓在其它船型容器3 9和卡式盒3 7 之間被傳輸時,該船型容器交換單元25使得一個船型容 -5- (3)200416775 器3! 個如 序號 請並 pfci 三主 甲δ円 2002 晶圓 輸; 環境 提供 改良 開在 統, 起的 在一 距離 開放 一·末 固定 (見摘 固定 抽空 放位 )在該處理膛之內。 近來,半導體處理設備已被設計來處理小批晶圓。一 ”迷你-批”型的系統被詳細的描述在PCT專利申請 (Attorney Docket no. F P 7 1 7 4 8 / M S S / W E N ),其同時申 附上和申明美國臨時專利申請序號的權益6 0/3 9 6,5 3 9 於 July 15,2003 和 60/428,526 申請於 November 22, ,藉由此處的參考文獻二者全部被具體化。小數目的 允許在前開放一體式縱槽和該處理設備之間更快的傳 然而,the環境該晶圓在該前開放一體式縱槽的 和在處理設備的環境通常是不同的,而且在此情況下 晶圓的傳輸需要複雜度和時間。一些在傳輸設計上的 進展已經發展到現今最高的水準。一個系統的例子公 美國專利文號 6 5 4 2 8,2 6 2,引導到一個離子注入系 其中該傳輸設計具有一個與真空機器人區域3 2在一 負載固定裝置,試圖來降低體積和最有效的進行貫穿 個前開放一體式縱槽和該離子注入膛1 4之間的移動 的操作。當一群晶圓藉著一個第一末端受動器從該前 一體式縱槽被移動時,以及當一群晶圓藉著提升該第 端受動器和在第一空氣開放位置裡降低一個第一負載 裝置門被載入到負載固定裝置時,產量改善是想要的 1要)°此第一負載固定裝置然後藉著提升該第一負載 裝置門密閉到它的密閉位置。此負載固定裝置然後被 ;然後一個第二負載固定裝置門被升起到一個真空開 置。最後,一個3 -軸機器人從該負載固定裝置移動 -6- (4) (4)200416775 該晶圓之一到該離子處理膛負載固定裝置。 不幸的,潛在的半導體速度受限於每一個晶圓在前開 放一體式縱槽1 2和離子處理膛1 4之間被移動的次數。每 一個動作增加額外的時間並且增加半導體處理系統的複雜 度。一個負載埠裝置,特別爲了批處理,及使用該裝置的 方法,其該設施改良晶圓傳輸速度和克服以上和其他已 知負載埠的缺點,及使用該裝置的方法是必須的。 【發明內容】 發明槪述 在一個觀點t,本發明在沒有利用先前技術的多重負 載固定裝置系統的情況下,有利的提供半導體處理設備想 要的產量,例如一個小批垂直鎔爐系統。本發明提供晶圓 的傳輸,該傳輸從一個前開放一體式縱槽直接到一個與處 理設備連接在一起的晶圓載具。一種隔離的負載固定裝置 允許隔離的密封和淸潔,以及可以與一種標準負載埠結 合。此結合可以降低該系統的複雜性和足跡。該典型二 階負載固定裝置可以被結合在一般的負載埠。本發明的典 型一階 負載固定裝置”負載痺隔離兩個分開的環境,並 且被裝備來使第一和第二環境相配,例如前開放一體式縱 槽內的環境和鎔爐內的環境。本發明的另一個觀點,該負 載埠的氣動和/或電控制被提供,其藉著前開放一體式縱 槽來維持密閉環境,不管該負載埠是在開或關的位置。 0ne實施例 of the本發明的一個實施例被引導至一 -7- (5) (5)200416775 種負載埠裝置其幫助從一個前開放一體式縱槽到該處理設 備的晶圓傳輸。一般而言,該負載埠裝置包括一種平臺, 一種外罩,一種負載埠門,一種負載嗥門密封墊和一種適 應系統。該平臺被裝配用來安全的接收該前開放一體式縱 槽。該外罩包括一個第二膛開口。 當該前開放一體式縱 槽停靠到平臺時,該外罩被裝配用來密封的接和前開放一 體式縱槽。該負載埠門包括一種機械裝置入口其用來開 啓該前開放一體式縱槽的門。該負載埠門在一開的位置和 關的位置之間是可移動的,其中所謂的開口是在與該第二 膛直接聯繫。當該負載埠門在關閉位置時,該負載埠門密 封墊選擇性的維持密閉第二膛開口。一種適應系統被提供 並且與負載埠裝置聯繫。更特別的,該適應系統爲適應微 型環境膛作準備,所謂微型環境膛在該負載埠門在關閉位 置’該負載璋門密封墊背密封,和該前開放一體式縱槽門 被開啓時’藉著該負載埠,該密封墊,該開口,和該前開 放一體式縱槽內部被限定。一種從該前開放一體式縱槽到 該處理設備的晶圓傳輸方法也被公開。 此負載ί阜及使用方法具有其他特色和優點,其將會從 附圖中變的明顯並且在附圖中被更詳細的被提供,該附圖 被包含在此設計規範的一部份和本發明詳述,並一起用來 解釋本發明的原則。 【實施方式】 本發明詳細說明 冬 (6) (6)200416775 一種圖示的半導體處理設備1 〇 〇,在本例中唯一個小 批垂直鎔爐系統’其具有本發明的負載埠裝置被顯示在圖 1的透視圖示,圖2的側平面圖示和圖3的俯視圖。當該 鎔爐系統1 〇 〇與四個單元1 1 0 ’ 1 2 0,1 3 0和1 4 0 一起被顯 示時,該系統可以具有一個或任何個數的單元是所想要 的。該單元可以是任何形式的處理單元而且可以與其它單 元一樣,與其它單元不一樣,或前兩者的合倂。在圖式的 本實施例中,所有單元110,12 0 ’ 13 0 和14 0被包括在 垂直批鎔爐系統。此處所描述的處理設備微小批垂直鎔爐 單元,本發明不限於此’並且可以被用於任何形式的半導 體處理設備。 在本例中..,每一單元包括處理膛1 5部其中不同的熱 處理在此執行。參照單元1 1 0, 一種升降梯1 1 2被用來 移動一個承載數個半導體晶圓的晶圓載具1 1 3進出處理 膛111。如圖1所示,其它單元120,130,140中每一個 也包括組合升降梯(只有142被不出)和晶圓滅具123’ 13 3, 1 43,其用來運輸晶圓進出分別的處理膛1 2 ], ]3 1,] 4 1。爲單純起見,一個單元將被詳述,而且可以理 解的是如此的描述可以被用在其他單元。該項目晶圓在此 被廣泛的利用來表示任何容納大量積體電路的物品,單一 或多個平板狀物品等諸如此類。晶圓在前開放一體式縱槽 和該單元之間被傳輸。如圖1所示,兩個前開放一體式縱 槽結合在每一個單元。前開放一體式縱槽1 1 6,Π 8與單 元110結合,前開放一體式縱槽126,〗28與單元120結 (7) (7)200416775 口’則開放一體式縱槽丨3 6,1 3 8與單元1 3 0結合,以及 前開放—體式縱槽146,148與單元140結合。其它配置 和前開放一體式縱槽的數目可以被利用。前開放一體式縱 槽非常普遍並且在工業界被高度標準化。該前開放一體式 紘:槽通吊是縱槽狀密封容器,其儲存許多晶圓前開放一體 式縱槽內部。該前開放一體式縱槽具有一前開放一體式縱 槽門來允δ午該晶圓進入。如同前開放一體式縱槽非常普遍 一樣,在此不做更近一步的詳述。 參照圖2,晶圓在前開放一體式縱槽1 1 8和該晶圓載 具1 1 3之間經由一種晶圓傳輸單元i〗4被傳輸。該前開放 一體式縱槽內部代表一個第一環境,and the general inteH ο of the以及處理設備的一般內部(例如在該晶圓 載具1 1 3 )代表一個第二環境。爲了幫助晶圓運輸,該前 開放一體式縱槽1 1 8被支撐在一種與該負載埠2 0 0偶合 的平臺1 19。該負載埠2 0 0(圖2)被裝配來確保接收到該負 載埠2 0 0前開放一體式縱槽。當該負載埠2 〇 〇在側視圖示 是可見時,可以了解的是每一個膛;1丨;[,]2 ],] 3 1,] 4 1 具有一個結合負載埠。一種自動和/或手動處理可以藉著 該負載埠2 0 0被用來偶合該前開放一體式縱槽n 8。在運 輸晶圓時,該升降梯1 1 2被下降,如此該載具1 1 3通常 在接合該負載埠2 0 0時被放置該前開放一體式縱槽1 1 8對 面。根據一個實施例,該第一環境(前開放一體式縱槽內 部)通常包含具有大約21PPm體積的氧氣濃度,以及該第 二環境(處理設備內部)通常包含具有大約5 ppm體積的氧 (8) (8)200416775 氣濃度。因此,該前開放一體式縱槽內的環境通常與晶 圓暴露在處理設備的環境不同。一個顯著的優點,本發明 爲適應晶圓做準備,或著在處理間爲了需要,允許晶圓從 一個環境暴露到另一個環境。 參照圖4 一個前平面圖示,和圖5 —個橫截面圖視, 該負載埠2 0 0被更詳細描述。在圖4和圖5中負載j:阜2 〇 〇 的不同的感測器和控制鍵被省略來保持淸楚,但是被顯示 在圖6到圖1 2的槪要圖示。 該負載璋2 0 0通常包括一種外罩2 4 0,一種負載堤門 25 0,一種負載埠門密封墊260, 和適應系統2 70。當該 負載埠門2 5 0在關閉位置2 5 3,該負載埠門密封墊2 6 〇被 密閉5和該前開放一體式縱槽門2 1 1被開啓時,一種微型 環境膛 2 7 1被定義爲負載場門 2 5 0,負載埠門密封墊 2 6 0,一種前開放一體式縱槽密封墊2 4 6,一種開口 2 4 ], 和該前開放一體式縱槽2 1 0的內部2 1 3。該適應系統2 7 0 包括一種進氣埠2 7 3,一種排氣埠2 7 4,並且爲了適應微 型環境膛2 7 1與微型環境膛2 7 1聯繫。 在該描述實施例中,該適應系統2 7 0包括一個進氣埠 2 7 3和一個排氣埠2 74,其被提供在該外罩24 0之內。然 而,應該了解的是氣體入口埠的數目和排氣埠的數目可以 應需求被裝配和利用。更近一步的,氣體入口埠和排氣埠 可以應需求與可移動式負載埠門2 5 0,凹處2 5 4,和/或該 前開放一體式縱槽2 1 0結合。 如圖4和圖5所示,該負載埠門密封墊2 6 0最好是壓 (9) (9)200416775 縮密閉墊,該密封墊接合該負載埠門2 5 0和該外罩2 4 0來 選擇性的從膛2 2 0封閉開口 2 4 1。如圖5所示,該負載璋 門密封墊2 60從膛220封閉開口 241。如圖9所示,該負 載j:阜門密封墊2 6 0被抽出空氣並且與負載ί阜門1 5 0被移到 開啓(圖1 2)。可以領會的是任何的配置數目可以用來做密 封墊,包括可抽氣式的密封墊。 如圖6所示,該前開放一體式縱槽平臺2 3 0被裝配來 接收和確保該前開放一體式縱槽2 1 0。該前開放一體式縱 槽平臺2 3 0包括一對動態探針231-1和231-2,一對位於 鄰近該動態探針2 3 1 - 1和2 3 1 - 2的位置感測器2 3 2 - 1和 2 3 2 - 2,和一種前開放一體式縱槽固定機械結構2 3 3。一 個或多個動態探針可以被用來該前開放一體式縱槽2 1 0。 一個或多個位置感測器可以被放置在接近一個或多個動態 探針的位置,其目的爲偵測該前開放一體式縱槽2 1 0的位 置。在該描述的實施例中,與三個動態探針感測器(只有 感測器231-1和23卜2被顯示)對應的三個動態探針(只有 探針2 3 1 - 1和2 3 1 - 2被顯示)被包括在該平臺2 3 0。更好 的,每一個動態探針感測器被放置在鄰近對應的動態探針 來決定一個前開放一體式縱槽2 1 0是否接收到。可以領會 的是任何動態探針和/或動態探針感測器的配置數目可以 藉著本發明的教導來使用。 如圖6所示,固定機械結構2 3 3是一種藉著前開放一 體式縱槽2 1 0所接收的尖角栓,如此尖角栓的旋轉動作關 緊前開放一體式縱槽2 1 0到平臺2 3 0。可以領會的是任何 -12- (10) 200416775 固定機械結構的配置數目可以應需求被使用,以及固定機 械結構通常由一個既有的負載埠對應的設計規範來定義。
如圖6所示,一種移動式容器底座系統2 3 9可以被定 義爲包括該平臺2 3 0,一種平臺基底2 3 4,以及一種停靠 動作機械結構2 3 7。當固定機械結構2 4 2 (例如圖4所描述 的則開放一體式縱槽夾鉗2 4 2 - 2,和2 4 2 - 2 )接和對應的探 針(並未顯示)時,該移動式容器底座系統2 3 9關緊前開放 一體式縱槽2 1 0到平臺2 3 0,該探針由前開放一體式縱槽 21〇伸出來關緊該前開放一體式縱槽21〇到該外罩24 0。 54平曼230被固定在平臺基底234來支撐前開放一體式縱 槽平臺2 3 0在兩個或多個停靠位置之間。一種在前開放一 體式縱槽平臺.2 3 0和該平臺基底2 3 4之間的停靠動作機械 結構2 3 7支撐平臺2 3 0的動作。被描述的該停靠位置包括 一出塢位置2 3 5 (圖6 )和入塢位置2 3 6 (圖7 )。一種停靠感 測器2 3 8可以被周來偵測前開放一體式縱槽平臺2 3 0的位 置。可以領會的是任何爲了移動前開放一體式縱槽平臺 2 3 〇在出塢位置2 3 5和入塢位置2 3 6之間前進和後退的動 作可以錯者本發明的教導來被使用。根據一描述的實施 例’該停靠動作機械結構2 3 7包括一種用來啓動在停靠位 置2 3 5和2 3 6之間的動作的氣體瓶。 如圖7所示,該外罩2 4 〇包括—種開口 24〗到第二膛 2 2 〇 °該第二腊2 2 0在此通常被定義爲處理執行地點,例 如錯爐環境;然而,該第二膛並不需要是一種處理膛,而 可以是任何其它在該系統所用的膛。如圖6和圖7所示, -13- (11) 200416775 該前開放一體式縱槽2 1 0從出塢位置2 3 5運送 2 4 0的入塢位置2 3 6。一個或多個固定機械結 如,夾鉗242-1和242-2 (圖4)接和該前開放 2 ] 0來確保在前開放一體式縱槽2〗0和該外罩 氣密閉。根據一描述實施例,該固定機械結構 接合探針的夾鉗,該探針從該前開放一體式縱 面大約伸出到該前開放一體式縱槽2 1 0的上表 機械結構2 4 2接合並且偏移該前開放一體式縱 該外罩240。可以領會的是其它固定機械結構 和配置可以藉著本發明的教導來被利用。如圖 前開放一體式縱槽2 1 0被關緊到該平臺2 3 0 2 4 0密封。 根據在圖7所示的實施例,一種前開放一 封墊246被放置在鄰近該開口 241位置,其 該前開放一體式縱槽2 1 0在入塢位置2 3 6。固 2 4 2接合並且偏移該前開放一體式縱槽2 ] 0面 口 2 4 1,如此該前開放一體式縱槽密閉墊2 4 6 罩2 4 0有關的該前開放一體式縱槽2 1 0的外罩 的是任何在密閉空氣的情況下,用來接合該前 縱槽2 1 0到該外罩24 0的配置可以被利用。根 的實施例,該前開放一體式縱槽密封墊是一 5 240的〇·環密封墊。 再參照圖7,一種容器接合感測器243被 罩2 4 0並且被用來決定該前開放一體式縱槽2 1 到接近外罩 構242 ,例 一體式縱槽 2 4 0之間的 2 4 2是一對 槽2 1 0的反 面。該固定 槽2 1 0面對 2 4 2的數目 7所示,該 並解與外罩 體式縱槽密 目的爲密封 定機械結構 對該外罩開 密封與該外 。可以領會 開放一體式 據一個圖示 塵在該外罩 固定在該外 〇是否與該 -14- (12) 200416775 外罩24〇接合。可以領會的是任何容器接 的配置和數目可以應要求被利用。 如圖8所示,負載埠門2 5 0可以應需 (圖1 2)和關閉位置2 5 3之間往任何方向移動 門2 5 0在開啓位置時,該開口 241與第二f 繫。該負載埠門2 5 0包括一種凹處2 5 4,其 結構之該前開放一體式縱槽門2 5 5,並且該 足夠的空間來儲存前開放一體式縱槽門2 1 示,有關開口 240的負載璋門25 0在關閉位 從外部週遭環境來密閉第二膛220。移動該 到該關閉位置2 5 3必且啓動該負載埠門密封 P 2 4 1 〇 再參照圖8,當該負載埠門2 5 0在關閉 該負載埠門2 5 0的該凹處2 5 4與開口 2 4 1鄰 放一體式縱槽2 1 0與外罩接合時,該前開放 2 1 1可以經由開口 24 1進入。進入機械結構 體式縱槽門2 5 5從凹處2 5 4展開,並且與該 縱槽門2 ] 1接合來打開和/或關閉該前開放 2 11。根據一個圖示的實施例,進入機械; 放一體式縱槽門2 5 5藉著凹處2 54來固定, 埠門25 0在開啓位置2 5 2 (圖12)和關閉位置 間被移動。現今一般技術之一將爲領會到在 之內其它進入機械結構之前開放一體式縱槽 可以被用來接合該前開放一體式縱槽門2 1 1 合感測器244 求在開啓位置 。當該負載埠 丨堂22 0直接聯 包含進入機械 凹處254具有 1。如圖7所 置2 5 3,因此 負載埠門2 5 0 墊2 6 0從該開 位置2 5 3時, 近。當該前開 一體式縱槽門 之該前開放一 前開放一體式 一體式縱槽門 結構的該前開 並且與該負載 2 5 3 (圖 11)之 該發明的範圍 門2 5 5的配置 ,例如一種進 -15- (13) (13)200416775 入機械結構2 5 5的前開放一體式縱槽門導致該前開放一體 式縱槽門收回到該前開放一體式縱槽之內。 如圖8所示,該進入機械結構之前開放一體式縱槽門 2 5 5包括一種圖示的栓組件2 5 6其具有一個或多個旋轉 鍵,例如旋轉鍵2 5 7,一種校正探針2 5 8,合一種感測器 2 5 9。該栓組件2 5 6通過開口 241來接合該前開放一體式 縱槽門21 1。一個或多個旋轉鍵2 5 7被用來關緊前開放一 體式縱槽門2 1 1到進入機械結構之前開放一體式縱槽門 2 5 5。一個或多個校正探針2 5 8被用來校正與栓組件2 5 6 有關的移動式前開放一體式縱槽門2 1 1。一個或多個感測 器2 5 9可以被用來確認與栓組件2 5 6有關的移動式門的位 置。根據一個圖示的實施例,一種雙旋轉鍵2 5 7被用來接 合該前開放一體式縱槽門2 1 1,其方式爲藉著利用一種單 一動作的裝置在接合位置和解脫位置之間同時旋轉該雙旋 轉鍵。 如圖9所示,該進入機械結構之前開放一體式縱槽門 2 5 5從凹處2 5 4可收回的延伸來接和前開放一體式縱槽門 2 ] 1。當該進入機械結構之前開放一體式縱槽門2 5 5被延 伸時’該权正探針2 5 8校正該前開放一體式縱槽門2 1 1和 栓組件2 5 6。該感測器2 5 9顯示該移動式門和栓組件2 5 6 之間的接近。一個或多個旋轉鍵2 5 7被啓動來接和該前開 放一體式縱槽門2 1 1。如圖1 〇和1 1所示,該進入機械 結構之前開放一體式縱槽門2 5 5收回到凹處2 5 4與該前開 放一體式縱槽門2 1 1 —起。開啓該前開放一體式縱槽門 -16- (14) (14)200416775 - 1 1允δ午進入到前開放一體式縱槽2 1 〇的內部2 1 3。 爲了適應該晶圓,或從第一環境移動該晶圓到第二環 境’該前開放一體式縱槽2 1 〇的內部2 1 3被淸潔,並且通 吊是在負載埠門2 5 〇在關閉位置2 5 3,負載埠門密封墊 2 6 0被挖閉’和前開放一體式縱槽密封墊2 6 4被密閉時被 執行(如圖1 1所示)。根據一個圖示的實施例,該前開放 一體式縱槽2 1 〇 (例如前開放一體式縱槽η 8 )被固定在一 種晶圓處理裝置的該外罩2 4 0上,此處理裝置通常包括一 種第二膛220。前開放一體式縱槽2 ;[ 〇利用例如該前開放 一體式縱槽密封墊264來被固定到開口 24〗附近的該外罩 240。前開放一體式縱槽210在該前開放一體式縱槽i ! 8 與開口 241附近的外罩240緊密接合之後被開啓。開啓該 前開放一體式縱槽門2 1 1的動作藉著經由開口 24 1延伸該 前開放一體式縱槽門2 5 5來接合與開啓該前開放一體式縱 槽門2 1 1被執行。開啓該前開放一體式縱槽門2 1 1的動作 包括將該進入機械結構之前開放一體式縱槽門2 5 5與移動 式前開放一體式縱槽門2〗1連在一起,並且收回該進入機 械結構之前開放一體式縱槽門2 5 5和移動式前開放一體式 縱槽門2 1 1兩者到負載埠門2 5 0之內的凹槽。 根據一個圖示的實施例,在該前開放一體式縱槽門被 開啓之後,該微型環境膛藉著經由一進氣口注入一種第一 氣體進入該微型環境來被淸潔,經由一排氣口從微型環境 來釋放一種第一氣體。根據一個圖示的實施例,該微型 環境膛被定義爲經由一個或多個進氣口 2 7 3,經由該前開 - 17- (15)200416775 放一體式縱 或多個排氣 可替代 一進氣口堤 質,例如氧 潔氣體的量 膛2 7 1提供 較少的淸潔 根據一 負載埠門密 該負載埠門 2 4 1來維持 2 2 0之間的 2〗〇內的該 移動到該第 樣的前開放 之內。根據 個前開放一 該負載 1 2 )被移動至 封墊到第二 門2 1 1的動 前開放一體 2 1 1到該前 槽2 1 0內部2 1 3的一部分的氣流以及經由一個 口 2 7 4的氣流。 的是,該微型環境膛2 7 1藉著一淸潔空氣經由 2 7 3被適應。該淸潔氣體與任何不想要的物 氣,濕氣,和粒子物質經由排氣口埠274。淸 是由膛的大小來決定。有利的是,該微型環境 一個較小的腹,此腹通常在一淸潔處理時消耗 氣體。 個圖示的實施例’該負載埠門2 5 0藉著開啓該 封墊2 60被打開,然後從該關閉位置25 3移動 2 5 0到開啓位置2 5 2 (圖1 2 )’即可以經由開口 前開放一體式縱槽2 1 0的內部2 1 3和第二膛 聯繫。於是,一個被裝在該前開放一體式縱槽 晶圓2 1 2可以從該前開放一體式縱槽 2 1 0被 二膛220。在處理之後,該晶圓被移動到一 一體式縱槽之內或著另一個前開放一體式縱槽 一個圖示的實施例,該晶圓隨後被放置在另一 體式縱槽例如前開放一體式縱槽1 1 6。 埠門2 5 0的關閉動作是從該開啓位置2 5 3 (圖 iJ關閉位置2 5 2 (圖1 1 )以及密閉該負載埠門密 膛2 20的開口 24 1。關閉該前開放一體式縱槽 作是藉著經由開口 24 1延伸該進入機械結構之 式縱槽門2 5 5來送回該前開放一體式縱槽門 開放一體式縱槽2 1 0。根據一個圖示的實施 -18- (16) (16)200416775 例,關閉該前開放一體式縱槽門2 1 1的動作涉及從被定義 在負載璋門2 5 0範圍之內的該凹處25 4延伸該進入機械結 構之前開放一體式縱槽門2 5 5和該前開放一體式縱槽門 2 11,關閉該前開放一體式縱槽門2 1 1到該前開放一體式 縱槽210,從該進入機械結構之前開放一體式縱槽門255 來釋放該前開放一體式縱槽門2 1 1,以及收回該進入機械 結構之前開放一體式縱槽門2 5 5到凹處2 5 4之內。前開放 一體式縱槽2 1 0然後從該外罩240和該前開放一體式縱槽 平臺2 3 4被釋放。 爲了圖示和描述的目的,本發明之特定實施例的上述 說明已經被提出。它們並不意指是本發明的全部或限制此 發明在公開的嚴格範圍內,並且明顯的,許多的修正和改 變在按照以上的教導是可行的。該實施例被選出和被描述 是爲了最有效的解釋本發明的原則和實際的運用,從而最 有效的利用其它現今技術到爲了配合預期的特殊用途而具 有不同修正的本發明和不同的實施例。所想要的是本發明 的觀點藉著所附的本專利範圍和相等物被定義。 【圖式簡單說明】 圖1槪括來說描述一種小批垂直鎔爐系統的透視圖 示,其依照一個圖示的實施例具有四個單元。 圖2槪括來說依照一個單元的圖1來描述一側平面圖 示。 圖3槪括來說依照一個單元的圖1描述一俯視圖。 -19- (17) (17)200416775 圖4槪括來說依照圖2描述該負載埠的前平面圖示。 圖5槪括來說依照圖2描述該負載埠橫截面圖視。 圖6依照本發明的圖示實施例爲負載埠裝置槪要圖 不,其包括與一種可移動式的容器底座偶合的前開放—體 式縱槽。 圖7依照圖6爲槪要圖示,其描述的該負載埠裝置包 含一種與該外罩在密閉聯繫的前開放一體式縱槽。 圖8依照圖6爲槪要圖示,其描述的該機械裝置入口 在伸展位置。 φ 圖9依照圖6爲槪要圖示,其描述伸展該機械裝置入 口和接合一種前開放一體式縱槽門。 圖1 〇依照圖6爲槪要圖示,其描述該前開放一體式 縱槽的伸展該機械裝置入口,此入口與該前開放一體式縱 槽的可移動門偶合。 圖11依照圖6爲槪要圖示,其根據本發明實施例來 描述一種微型環境膛。 圖12依照圖6爲槪要圖示,其描述一種負載棒門@ ♦ 開啓位置。 主要元件對照表 110 OC3 -- 早兀 111 處理膛 112 升降梯 113 晶圓載具 -20- (18)200416775 ]1 6, 118 前 開 放一 體 式 縱 槽 119 平 臺 1 20 σ 口 早 元 1 2 1 處 理 膛 123 晶 圓 載具 126, 128 前 開 放一 體 式 縱 槽 13 0 單 元 13 1 處 理 膛 133 晶 圓 載具 13 6 前 開 放一 體 式 縱 槽 140 單 元 14 1 處 理 膛 1 42 升 降 梯 143 晶 圓 載具 146, 148 前 開 放一 體 式 縱 槽 200 負 載 i阜 2 10 刖 開 放一 體 式 縱 槽 2 11 ..W·· 刖 開 放一 體 式 縱 槽門 2 12 晶 圓 2 13 內 部 220 膛 23 0 平 臺 23 1- -1,23 1- —2 動態探針 2 3 2 - -1,2 3 2 - -2 位置感測器
-21 - (19)200416775 233 固 234 平 23 5 出 23 6 入 237 停 23 8 停 23 9 移 240 外 24 1 開 242 固 242 — 1, 242 -2 246 /一 刖 250 負 252 開 253 關 254 凹 255 進 256 栓 257 旋 25 8 校 259 感 260 負 27 0 適 27 1 微 定機械結構 臺基底 塢位置 塢位置 靠動作機械結構 靠感測器 動式容器底座系統 罩 □ 定機械結構 夾鉗 開放一體式縱槽密封墊 載璋門 啓位置 閉位置 ' 處 入機械結構之該前開放一體式縱槽門 組件 轉鍵 正探針 測器 載埠門密封墊 應系統 型環境膛 -22- 200416775 (20) 273 進氣口 274 排氣口

Claims (1)

  1. 200416775 ⑴ 拾、申請專利範圍 i 一種負載埠裝置,其目的爲從具有〜種前開放一 體式縱槽門的一種前開放一體式縱槽的內部傳輸半導體晶 圓到一種半導體處理設備,包含: 一種被裝配來安全的接收該前開放一體式縱槽的平 臺; 一種外罩包括 一種第二膛開口, 該外罩在該前開放一體式縱槽被關緊在該平臺時,被 裝配來密閉的接合該前開放一體式縱槽; 一種負載埠門包括 一種進入機械結構之前開放一體式縱槽門,其目的爲 打開該前開放一體式縱槽門, 該負載埠門,其可以在一開啓位置和一關閉位置之間 移動’並且其中該開口與該第二膛直接聯繫; 一種負載埠門密封墊其目的爲當該負載埠門在該關閉 位置時選擇性的密閉該第二膛的該開口;以及 一種與該開口聯繫的適應系統,其目的爲適應一種微 型環境膛,當該負載埠門在該關閉位置,該負載埠門密封 墊被密閉,和該前開放一體式縱槽門被開啓時,此微型環 境被限定爲該負載埠門,該開□,和該前開放一體式縱槽 內部。 2 ·如專利申請範圍第1項之裝置,該負載埠門更近 步的包括一種負載埠門凹處,以及該微型環境膛更近一 -24 - (2) (2)200416775 步的被限定爲該負載埠門凹處。 3 .如專利申請範圍第2項之裝置,該進入機械結構 之前開放一體式縱槽門從該負載璋門凹處可收回的延伸。 4 .如專利申請範圍第3項之裝置,該進入機械結構 之前開放一體式縱槽門包括一種門栓,其可以向具有一種 可移式門的該前開放一體式縱槽門延伸,接合該可移式 門,以及藉著該可移式門收回到該負載埠門凹處。 5 ·如專利申請範圍第4項之裝置,該進入機械結構 之前開放一體式縱槽門更近一步的包括一種用來可釋放的 接合該可移式門的栓組件。 6. 如專利申請範圍第1項之裝置,該負載埠門密封 墊與該負載埠門偶合。 7. 如專利申請範圍第6項之裝置,該負載埠門密封 墊是一種與該負載埠門偶合的膨脹密封墊,其目的爲當該 負載埠門在該關閉位置時緊密的接合該外罩。 8 .如專利申請範圍第1項之裝置,該適應系統包括 戶:!來提供一種第一氣體到該微型環境膛的一種進氣口,和 用來從該微型環境膛釋放該氣體的一種排氣口。 9 . 一種方法,其目的爲適應一種具有前開放一體式 縱槽門之前開放一體式縱槽的內部,該方法包含: 經由該接合開口延伸一種進入機械結構之前開放一體 式縱槽門和開啓該前開放一體式縱槽門; 適應一種微型環境膛,當該前開放一體式縱槽的該前 開放一體式縱槽門被開啓時,此微型環境膛被限定爲該處 -25 - (3) 200416775 理裝置的一種負載埠門在關閉位置,該開口,和該' 一體式縱槽內部;以及 Ά
    從該關閉位置開啓和移動該負載埠門到該 從而經由該開口連接該內部和一種第二膛。 % 10.如專利申請範圍第9項之方法,更近〜歩% 從該開啓位置移動和關閉該負載埠門到與該外_ 接合之該關閉位置。 11.如專利申請範圍第9項之方法,更近一歩^ 經由該外罩延伸該進入機械結構之前開放一 _1 ^;
    門來關閉該前開放一體式縱槽門;以及 從前開放一體式縱槽平臺釋放該前開放一體式_ ^ % 1 2 .如專利申請範圍第9項之方法,該淸潔奠_ 0 的包括: & 經由一種進氣口來配與一種第一氣體到微型_ _ 及 為;4 經由一種排氣口從該微型環境釋放該第一氣_, 該微型環境膛被限定爲進氣口和排氣口之間的〜_
    侃。 13.如專利申請範圍第9項之方法,該淸潔更进% 的包括: 經由一種在外罩上的進氣口來配與一種第一氣體到微 型環境;以及 經由一種在外罩上的排氣口從該微型環境釋放該第一 氣體,其中該微型環境膛被限定爲進氣口和排氣口之間的 -26- (4) (4)200416775 一種氣體流。 14.如專利申請範圍第9項之方法,更近一步的包括: 經由該開口進入該前開放一體式縱槽。
    -27-
TW92119301A 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof TW200416775A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US42852602P 2002-11-22 2002-11-22

Publications (1)

Publication Number Publication Date
TW200416775A true TW200416775A (en) 2004-09-01

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber
TW92119301A TW200416775A (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment

Family Applications Before (6)

Application Number Title Priority Date Filing Date
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment

Country Status (6)

Country Link
EP (2) EP1540258A1 (zh)
JP (2) JP2005533378A (zh)
CN (1) CN1643322A (zh)
AU (9) AU2003256487A1 (zh)
TW (9) TW200411960A (zh)
WO (9) WO2004008494A2 (zh)

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
NL1030360C2 (nl) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Oven en werkwijze voor de productie van fotovoltaïsche zonnecellen gebruikmakend van een diffusieproces.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (de) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusionsofen und Verfahren zur Erzeugung einer Gasströmung
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (ja) * 2008-03-31 2012-02-29 株式会社山武 流量制御システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (ja) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 連結システム
KR101877494B1 (ko) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 진공 열처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (ko) * 2012-09-17 2014-09-18 주식회사 유진테크 기판처리장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10386019B2 (en) 2013-03-15 2019-08-20 Southwire Company, Llc Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015112328A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. High speed epi system and chamber concepts
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (ja) * 2016-01-25 2017-06-07 三菱電機株式会社 制御装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
FR3057391B1 (fr) * 2016-10-11 2019-03-29 Soitec Equipement de traitement thermique avec dispositif collecteur
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018150537A1 (ja) * 2017-02-17 2018-08-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP7158133B2 (ja) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (zh) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN111373519B (zh) * 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (ja) * 2018-07-30 2023-01-18 Tdk株式会社 ロードポート装置、半導体製造装置及びポッド内雰囲気の制御方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7203588B2 (ja) * 2018-12-17 2023-01-13 東京エレクトロン株式会社 熱処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (ko) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 냉각 효율을 향상시키는 고압챔버
CN114990299B (zh) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 一种球墨铸铁合金制备用热处理装置

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
DE3855871T2 (de) * 1987-09-11 1997-10-16 Hitachi Ltd Vorrichtung zur Durchführung einer Wärmebehandlung an Halbleiterplättchen
JPH088220B2 (ja) * 1988-09-05 1996-01-29 株式会社日立製作所 半導体ウェハの熱処理装置、及び熱処理方法
EP0308946B1 (en) * 1987-09-22 1993-11-24 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
JPH02130943A (ja) * 1988-11-11 1990-05-18 Tel Sagami Ltd 収容治具
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (de) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch Verfahren zur thermischen behandlung von halbleitermaterialien und vorrichtung zur durchfuehrung desselben
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (ja) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 ドープド薄膜の成膜方法
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
EP0603391B1 (en) * 1992-05-15 1997-07-23 Shin-Etsu Quartz Products Co., Ltd. Vertical heat treatment apparatus and heat insulating material
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (ja) * 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (ja) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk 気相成長機構および熱処理機構における加熱装置
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (ja) * 1994-09-30 1998-03-25 信越半導体株式会社 ウエーハ支持ボート
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2001524259A (ja) * 1995-07-10 2001-11-27 シーヴィシー、プラダクツ、インク マイクロエレクトロニクス製造装置用プログラマブル超クリーン電磁サブストレート回転装置及び方法
JP3471144B2 (ja) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 縦型熱処理装置及びその断熱構造体並びに遮熱板
JP3423131B2 (ja) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 熱処理装置及び処理装置
JPH09306980A (ja) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd 縦型ウエハボート
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
CA2335155C (en) * 1998-06-18 2009-09-01 Kline & Walker, Llc Automated devices to control equipment and machines with remote control and accountability worldwide
JP3487497B2 (ja) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 被処理体収容治具及びこれを用いた熱処理装置
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (ja) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd 基板処理装置
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (ja) * 2000-01-21 2003-05-12 株式会社真空技研 超高温熱処理装置
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (ja) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc 半導体製造装置
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置

Also Published As

Publication number Publication date
EP1540258A1 (en) 2005-06-15
AU2003249028A1 (en) 2004-02-02
AU2003253874A8 (en) 2004-02-02
TW200416774A (en) 2004-09-01
WO2004008491A3 (en) 2004-06-03
WO2004007800A9 (en) 2005-01-13
AU2003256487A1 (en) 2004-02-02
WO2004008054A9 (en) 2005-01-13
AU2003256486A8 (en) 2004-02-02
AU2003249030A8 (en) 2004-02-02
WO2004008008A2 (en) 2004-01-22
JP2005533378A (ja) 2005-11-04
WO2004008493A9 (en) 2004-07-22
WO2004008052A3 (en) 2004-05-13
WO2004008491A2 (en) 2004-01-22
TW200419890A (en) 2004-10-01
EP1522090A4 (en) 2006-04-05
WO2004008494A2 (en) 2004-01-22
AU2003253874A1 (en) 2004-02-02
WO2004007105A1 (en) 2004-01-22
AU2003253873A1 (en) 2004-02-02
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
TW200405401A (en) 2004-04-01
WO2004007318A2 (en) 2004-01-22
AU2003253907A1 (en) 2004-02-02
AU2003259104A1 (en) 2004-02-02
WO2004008052A2 (en) 2004-01-22
TW200409176A (en) 2004-06-01
AU2003249030A1 (en) 2004-02-02
WO2004007318A3 (en) 2004-08-05
AU2003259104A8 (en) 2004-02-02
TW200406818A (en) 2004-05-01
AU2003249029A8 (en) 2004-02-02
CN1643322A (zh) 2005-07-20
TW200411717A (en) 2004-07-01
WO2004008493A3 (en) 2004-05-27
EP1522090A2 (en) 2005-04-13
WO2004008493A2 (en) 2004-01-22
AU2003256486A1 (en) 2004-02-02
AU2003249029A1 (en) 2004-02-02
WO2004008008A3 (en) 2004-12-16
TW200416773A (en) 2004-09-01
WO2004008054A1 (en) 2004-01-22
JP2005533232A (ja) 2005-11-04
AU2003253907A8 (en) 2004-02-02
TW200411960A (en) 2004-07-01

Similar Documents

Publication Publication Date Title
TW200416775A (en) Loadport apparatus and method for use thereof
JP7263639B2 (ja) 基板搬送部
US11990358B2 (en) Sealed substrate carriers and systems and methods for transporting substrates
TWI723122B (zh) 基板收納容器之連結機構及連結方法
TWI624898B (zh) 基板處理裝置、基板裝載方法及基板卸下方法
US9543180B2 (en) Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US6517304B1 (en) Method for transporting substrates and a semiconductor manufacturing apparatus using the method
JP3880343B2 (ja) ロードポート、基板処理装置および雰囲気置換方法
KR100831933B1 (ko) 기판처리장치 및 반도체장치의 제조방법
US6641350B2 (en) Dual loading port semiconductor processing equipment
TW418429B (en) Processing apparatus
JP3286240B2 (ja) 半導体処理用ロードロック装置及び方法
TW202036762A (zh) 電子設備製造裝置、系統及方法中的裝載端口操作
TW201712785A (zh) 裝載裝置、及基板處理系統
JP5905504B2 (ja) 半導体ウェハをローディングおよびアンローディングするための装置
JP2008258192A (ja) 枚葉式の基板処理装置、枚葉式の基板処理装置の運転方法及び記憶媒体
KR20180111592A (ko) 기판 처리 장치
JP6212063B2 (ja) 基板搬送ロボット及びそれを用いた基板処理装置
JP5926694B2 (ja) 基板中継装置,基板中継方法,基板処理装置
JP4255222B2 (ja) 基板処理装置、基板処理方法および半導体装置の製造方法
JP2000150613A (ja) 被処理体の搬送装置
US11569102B2 (en) Oxidation inhibiting gas in a manufacturing system
JP3188956B2 (ja) 成膜処理装置
JP2004080053A (ja) 半導体製造装置
KR20030065275A (ko) 비마찰식 도어를 갖는 기판 저장 용기