KR940020495A - 플라즈마 처리장치(plasma processing apparatus) - Google Patents

플라즈마 처리장치(plasma processing apparatus) Download PDF

Info

Publication number
KR940020495A
KR940020495A KR1019940002756A KR19940002756A KR940020495A KR 940020495 A KR940020495 A KR 940020495A KR 1019940002756 A KR1019940002756 A KR 1019940002756A KR 19940002756 A KR19940002756 A KR 19940002756A KR 940020495 A KR940020495 A KR 940020495A
Authority
KR
South Korea
Prior art keywords
gas
plasma processing
flow path
introduction pipe
lower electrode
Prior art date
Application number
KR1019940002756A
Other languages
English (en)
Other versions
KR100247532B1 (ko
Inventor
사토루 가와카미
쓰요시 스즈키
준이치 아라미
요이치 데구이
Original Assignee
이노우에 아키라
도오교오 에레구토론 가부시끼가이샤(Tokyo Electron Ltd.)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP4999393A external-priority patent/JP3040630B2/ja
Priority claimed from JP5055089A external-priority patent/JP3050716B2/ja
Application filed by 이노우에 아키라, 도오교오 에레구토론 가부시끼가이샤(Tokyo Electron Ltd.) filed Critical 이노우에 아키라
Publication of KR940020495A publication Critical patent/KR940020495A/ko
Application granted granted Critical
Publication of KR100247532B1 publication Critical patent/KR100247532B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 처리챔버내의 플라즈마 발생용 하부전극상에 정전척으로 유지되어 있는 반도체 웨이퍼의 배면쪽에 He 등의 백사이드가스를 공급하면서 플라즈마 처리를 하는 경우 백사이드 가스 도입관을 통하여 하부전극과 그라운드 부재와의 사이에서 얻은 방전을 방지하기 위하여 하부전극과 그라운드부재와의 사이의 절연체내의 위치에서 가스도입관내에 축방향으로 신장하는 다수의 소직경의 통류공을 구비한 2종류의 전기 절연재로 되는 원추형상 유로부재가 끼워넣어진다. 이것에 의하여 백사이드 가스의 가스유로의 직경이 적기 때문에 방전개시 전압이 높게되어 방전을 방지할 수 있고, 또 통류공은 다수 형성되어 있으므로 커다란 콘덕턴스를 확보할 수가 있다. 백사이드 가스는 플라즈마 처리후는 가스 도입관을 통하여 배출된다.
이것에 의하여 웨이퍼와 정전척과의 사이에 수분이 잔유하거나 처리챔버내에 수분이 잔유하는 것이 방지되고, 웨이퍼의 대전방지와 처리 챔버의 배기시간 단축이 가능하게 된다.

Description

플라즈마 처리장치(PLASMA PROCESSING APPARATUS)
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 플라즈마 처리장치의 종단면도,
제2도는 제1도의 일부 확대 단면도.

Claims (16)

  1. 진공챔버를 구성하는 수단과, 진공챔버내에 상하에 대향하여 설치된 상부전극 및 하부전극과, 진공챔버에 처리가스를 공급하고, 배출하는 수단과, 하부전극상에 설치된 피처리체 유지용척과, 상부 및 하부전극과의 사이에 고주파 전력을 공급하여 처리가스를 플라즈마화하고, 상기 척에 유지되는 피처리체를 플라즈마 처리하는 고주파 전력공급수단과, 하부전극에 절연수단을 통하여 설치되고, 상기 하부전극과는 다른 전위를 가지는 도전부재와, 상기 도전부재 및 절연수단을 통하여 하부전극상의 상기 척에 유지되고 있는 피처리체의 배면에 열전달용 백사이드 가스를 공급하는 가스 도입구를 가지는 백사이드 가스 공급수단과, 상기 가스 도입관내에 삽입되고, 다수의 소구경의 유통공을 가지는 전기 절연재재 유로부재를 구비하는 것을 특징으로 하는 플라즈마 처리장치.
  2. 제1항에 있어서, 상기 유로부재가 가스 도입관의 길이방향으로 복수에 엘레멘트로 분할되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  3. 제1항에 있어서, 상기 유로부재가 원주형상을 이루고 그 길이방향에 상기 소구경 유통공이 형성되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  4. 제3항에 있어서, 상기 유로부재가 가스 도입관의 길이방향으로 복수의 엘레멘트로 분할되고 근접하는 엘레멘트의 소구경 유통공이 그 길이방향에 대하여 위치가 겹치지 않도록 설치되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  5. 제3항에 있어서, 상기 유로부재가 가스 도입관의 길이방향의 복수의 엘레멘트로 분할되고 각 엘레멘트의 근접 엘레멘트에 접하는 면에 각 엘레멘트의 외경보다 약간 지름이 적은 오목부가 형성되며, 오목부의 영역에 소구경 유통공이 형성되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  6. 제1항에 있어서, 상기 유로부재가 다공질체로 이루어지는 것을 특징으로 하는 플라즈마 처리장치.
  7. 제1항에 있어서, 상기 유로부재의 백사이드 가스 공급수단의 측에 근접하여 가스도입관내에 도전성 유로부재가 삽입되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  8. 제7항에 있어서, 도전성 유로부재가 원주체로 이루어지고 그 중앙부에 유로공이 형성되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  9. 제1항에 있어서, 상기 전기절연부재 유로부재가 상기 절연수단의 근처에 설치되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  10. 제1항에 있어서, 상기 백사이드 가스 공급수단은 상기 가스 도입관을 통하여 피처리체 배면에 백사이드 가스를 공급하도록 행하는 공급펌프수단과, 상기 가스도입관을 통하여 피처리체 배면으로부터 백사이드 가스를 배출하도록 행하는 배기펌프 수단을 가지는 것을 특징으로 하는 플라즈마 처리장치.
  11. 진공챔버를 구성하는 수단과, 진공챔버내에 상하로 대향하여 설치된 상부 전극 및 하부 전극과, 진공챔버에 처리가스를 공급하고, 배출하는 수단과, 하부 전극상에 설치된 피처리체 유지용 척과, 상부 및 하부전극 사이에 고주파 전력을 공급하여 처리가스를 플라즈마화하고, 상기 척에 유지되는 피처리체를 플라즈마 처리하는 고주파 전력 공급 수단과, 하부전극에 절연수단을 통하여 설치되고, 상기 하부전극간은 다른 전위를 가지는 도전부재와, 상기 도전부재 및 절연수단을 통하여 하부 전극상에 상기 척에 유지되어 있는 피처리체의 배면에 열전달용 백사이드 가스를 공급하는 가스 도입관을 가지는 백사이드 가스공급수단을 구비하고, 상기 백사이드 가스공급수단은 상기 가스도입관을 통하여, 피처리체 배면에 백사이드 가스를 공급하도록 행하는 공급펌프 수단과, 상기 가스도입관을 통하여 피처리체 배면으로부터 백사이드 가스를 배출하도록 행하는 배기펌프 수단과, 상기 고주파 전력 공급수단을 작동에 관련하여 상기 공급펌프 수단을 동작시키고 상기 고주파 전력 공급수단의 작동종료에 관련하여 상기 배기펌프 수단을 동작시키는 제어수단을 가지고 있는 것을 특징으로 하는 플라즈마 처리장치.
  12. 진공챔버내에 하부 전극상의 척상에 피처리체를 재치하여, 체킹하는 공정과, 진공척내의 처리가스를 공급하는 공정과, 진공척내의 상부 전극과 상기 하부전극과의 사이에 고주파 전력을 공급하여 피처리 가스를 플라즈마화하고, 피처리체를 플라즈마 처리하는 공정과, 플라즈마 처리중에 상기 하부전극내를 통하여, 그 위에 체킹되어 있는 피처리체의 배면에 열전달용 백사이드 가스를 고급하는 공정과, 플라즈마 처리 종료후에 피처리체배면으로부터 백사이드 가스를 배출하는 공정과, 진공척내로터 처리가스를 배출하는 공정을 가지는 것을 특징으로 하는 플라즈마 처리방법.
  13. 제12항에 있어서, 상기 백사이드 가스배출공정이 상기 진공챔버내로부터 처리가스 배출공정보다 전에 행해지는 것을 특징으로 하는 플라즈마 처리방법.
  14. 제12항에 있어서, 상기 플라즈마 처리공정의 종료시에 고주파 저력의 공급을 단계적으로 저하시키는 공정을 더 포함하는 플라즈마 처리방법.
  15. 제14항에 있어서, 고주파 전력 공급의 단계적 저하의 최초의 저감단계와 동기하여, 백사이드 가스 배출공정의 개시되는 것을 특징으로 하는 플라즈마 처리방법.
  16. 제14항에 있어서, 고주파 전력공급의 단계적 저하의 최후의 저감단계전에 피처리체의 체킹을 해제하는 공정을 더 포함하는 플라즈마 처리방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940002756A 1993-02-16 1994-02-16 플라즈마 처리장치 KR100247532B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP93-49993 1993-02-16
JP4999393A JP3040630B2 (ja) 1993-02-16 1993-02-16 プラズマ処理装置およびプラズマ処理方法
JP5055089A JP3050716B2 (ja) 1993-02-20 1993-02-20 プラズマ処理装置
JP93-55089 1993-02-20

Publications (2)

Publication Number Publication Date
KR940020495A true KR940020495A (ko) 1994-09-16
KR100247532B1 KR100247532B1 (ko) 2000-05-01

Family

ID=26390427

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940002756A KR100247532B1 (ko) 1993-02-16 1994-02-16 플라즈마 처리장치

Country Status (2)

Country Link
US (1) US5542559A (ko)
KR (1) KR100247532B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100505621B1 (ko) * 1998-10-29 2005-09-26 삼성전자주식회사 블랑켓 텅스텐 증착방법
KR20210013389A (ko) * 2019-07-24 2021-02-04 김미영 진공펌프 전단 설치형 저온 플라즈마-촉매 스크러버

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW293983B (ko) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
DE69500566T2 (de) * 1994-02-28 1998-01-29 Applied Materials Inc Elektrostatische Halteplatte
US5883778A (en) * 1994-02-28 1999-03-16 Applied Materials, Inc. Electrostatic chuck with fluid flow regulator
US6245189B1 (en) * 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
KR100202051B1 (ko) * 1995-01-27 1999-06-15 오타 유다카 플라즈마 cvd장치
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5660326A (en) * 1995-08-18 1997-08-26 Sherwood Tool Incorporated Multi-layered insulated cup formed from folded sheet
US5644467A (en) * 1995-09-28 1997-07-01 Applied Materials, Inc. Method and structure for improving gas breakdown resistance and reducing the potential of arcing in a electrostatic chuck
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6055150A (en) * 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5751537A (en) * 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US5728260A (en) * 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
JPH09326385A (ja) * 1996-06-04 1997-12-16 Tokyo Electron Ltd 基板冷却方法
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5790365A (en) * 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
WO1998014036A1 (en) * 1996-09-24 1998-04-02 Fusion Systems Corporation Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JPH11154662A (ja) * 1997-11-20 1999-06-08 Seiko Instruments Inc 半導体製造装置
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6168697B1 (en) * 1998-03-10 2001-01-02 Trusi Technologies Llc Holders suitable to hold articles during processing and article processing methods
US6095582A (en) * 1998-03-11 2000-08-01 Trusi Technologies, Llc Article holders and holding methods
JP3266567B2 (ja) * 1998-05-18 2002-03-18 松下電器産業株式会社 真空処理装置
US6277235B1 (en) 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
JP3846092B2 (ja) * 1999-02-24 2006-11-15 松下電器産業株式会社 プラズマ処理装置および方法
US6320736B1 (en) * 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6720261B1 (en) * 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6373679B1 (en) 1999-07-02 2002-04-16 Cypress Semiconductor Corp. Electrostatic or mechanical chuck assembly conferring improved temperature uniformity onto workpieces held thereby, workpiece processing technology and/or apparatus containing the same, and method(s) for holding and/or processing a workpiece with the same
JP4937474B2 (ja) * 1999-07-13 2012-05-23 ノードソン コーポレーション 高速対称プラズマ処理システム
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6500299B1 (en) 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
US6406545B2 (en) 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
JP4578651B2 (ja) 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
NL1013984C2 (nl) * 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
MY120869A (en) * 2000-01-26 2005-11-30 Matsushita Electric Ind Co Ltd Plasma treatment apparatus and method
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
EP1307896A2 (en) 2000-08-11 2003-05-07 Applied Materials, Inc. Externally excited torroidal plasma source
US6551446B1 (en) 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US6453842B1 (en) * 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US6494986B1 (en) * 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7112533B2 (en) * 2000-08-31 2006-09-26 Micron Technology, Inc. Plasma etching system and method
US6606234B1 (en) 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6841033B2 (en) * 2001-03-21 2005-01-11 Nordson Corporation Material handling system and method for a multi-workpiece plasma treatment system
AU2002326159A1 (en) * 2001-08-27 2003-03-10 Matsushita Electric Industrial Co., Ltd. Plasma treating apparatus and plasma treating method
WO2003046959A1 (fr) * 2001-11-27 2003-06-05 Tokyo Electron Limited Systeme de traitement de plasma
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
US6971835B2 (en) * 2001-12-21 2005-12-06 Sumitomo Mitsubishi Silicon Corporation Vapor-phase epitaxial growth method
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP2003253449A (ja) * 2002-02-27 2003-09-10 Sumitomo Electric Ind Ltd 半導体/液晶製造装置
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
JP4186536B2 (ja) * 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20040040662A1 (en) * 2002-08-28 2004-03-04 Manabu Edamura Plasma processing method and apparatus for etching nonvolatile material
JP3970815B2 (ja) * 2002-11-12 2007-09-05 シャープ株式会社 半導体素子製造装置
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
GB0326500D0 (en) * 2003-11-13 2003-12-17 Oxford Instr Plasma Technology Gas port assembly
KR100505035B1 (ko) * 2003-11-17 2005-07-29 삼성전자주식회사 기판을 지지하기 위한 정전척
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211544A1 (en) * 2004-03-29 2005-09-29 Seagate Technology Llc Electrical biasing of gas introduction means of plasma apparatus
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7457097B2 (en) * 2004-07-27 2008-11-25 International Business Machines Corporation Pressure assisted wafer holding apparatus and control method
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060090703A1 (en) 2004-11-01 2006-05-04 Tokyo Electron Limited Substrate processing method, system and program
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
TWI414618B (zh) * 2005-08-26 2013-11-11 尼康股份有限公司 A holding device, an assembling system, a sputtering device, and a processing method and a processing device
US8070145B2 (en) 2005-08-26 2011-12-06 Nikon Corporation Holding unit, assembly system, sputtering unit, and processing method and processing unit
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
JP4580327B2 (ja) * 2005-11-21 2010-11-10 東京エレクトロン株式会社 被処理体の取り出し方法及びプログラム記憶媒体並びに載置機構
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR101206982B1 (ko) * 2006-05-19 2012-11-30 주식회사 원익아이피에스 진공처리장치
KR101276565B1 (ko) 2006-05-19 2013-06-19 주식회사 원익아이피에스 진공처리장치
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US7458504B2 (en) * 2006-10-12 2008-12-02 Huhtamaki Consumer Packaging, Inc. Multi walled container and method
JP4768699B2 (ja) * 2006-11-30 2011-09-07 キヤノンアネルバ株式会社 電力導入装置及び成膜方法
KR101312292B1 (ko) * 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US8064185B2 (en) * 2008-09-05 2011-11-22 Applied Materials, Inc. Electrostatic chuck electrical balancing circuit repair
US20100326602A1 (en) * 2009-06-30 2010-12-30 Intevac, Inc. Electrostatic chuck
SG183904A1 (en) * 2010-04-02 2012-10-30 Ulvac Inc Sputtering apparatus and sputtering method
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US9111731B2 (en) * 2011-11-29 2015-08-18 Lam Research Corporation Gas feed insert in a plasma processing chamber and methods therefor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
JP5633766B2 (ja) * 2013-03-29 2014-12-03 Toto株式会社 静電チャック
US9232626B2 (en) 2013-11-04 2016-01-05 Kla-Tencor Corporation Wafer grounding using localized plasma source
CN107534002A (zh) * 2015-02-25 2018-01-02 康宁股份有限公司 用于将衬底静电地卡紧到移动载体的装置和方法
JP6585180B2 (ja) * 2015-10-22 2019-10-02 東京エレクトロン株式会社 膜形成装置及び膜形成方法
US10882141B2 (en) * 2016-03-10 2021-01-05 Mitsubishi Electric Corporation Substrate suction stage, substrate treatment apparatus, and substrate treatment method
KR101776235B1 (ko) 2016-06-17 2017-09-11 (주)클린팩터스 공정설비에서 발생되는 배기가스 처리 플라즈마 반응기
JP6723660B2 (ja) * 2017-03-24 2020-07-15 住友重機械イオンテクノロジー株式会社 ウェハ保持装置及びウェハ着脱方法
JP6948822B2 (ja) * 2017-04-25 2021-10-13 東京エレクトロン株式会社 基板処理装置及び基板取り外し方法
KR20180135152A (ko) * 2017-06-09 2018-12-20 삼성전자주식회사 정전 척, 그를 포함하는 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
SG11202008969PA (en) * 2018-03-23 2020-10-29 Applied Materials Inc Isolated backside helium delivery system
US20210225619A1 (en) * 2018-06-29 2021-07-22 Hokuriku Seikei Industrial Co., Ltd. Electrostatic chuck
KR102650167B1 (ko) * 2018-07-05 2024-03-22 삼성전자주식회사 정전 척 및 그를 포함하는 플라즈마 처리 장치
US11367597B2 (en) * 2018-07-05 2022-06-21 Samsung Electronics Co., Ltd. Electrostatic chuck and plasma processing apparatus including the same
JP7304799B2 (ja) * 2019-11-28 2023-07-07 東京エレクトロン株式会社 基板処理装置および配管アセンブリ
KR20230138265A (ko) * 2022-03-23 2023-10-05 한국핵융합에너지연구원 유전분체의 선별장치

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5211796A (en) * 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
JPH05166757A (ja) * 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100505621B1 (ko) * 1998-10-29 2005-09-26 삼성전자주식회사 블랑켓 텅스텐 증착방법
KR20210013389A (ko) * 2019-07-24 2021-02-04 김미영 진공펌프 전단 설치형 저온 플라즈마-촉매 스크러버

Also Published As

Publication number Publication date
US5542559A (en) 1996-08-06
KR100247532B1 (ko) 2000-05-01

Similar Documents

Publication Publication Date Title
KR940020495A (ko) 플라즈마 처리장치(plasma processing apparatus)
KR100598631B1 (ko) 임피던스가 감소된 챔버
KR20090094290A (ko) 플라즈마 발생 장치
EP0997926A3 (en) Plasma treatment apparatus and method
JP2004535672A5 (ko)
KR100488348B1 (ko) 플라즈마 프로세스 챔버 및 시스템
CN108987233A (zh) 等离子体处理装置、静电吸附方法和静电吸附程序
JP6896912B2 (ja) バッチ式基板処理装置
KR970077336A (ko) 플라즈마 처리 장치 및 처리 방법
TW370701B (en) Monopolar electrostatic chuck having an electrode in contact with a workpiece
JPH06244119A (ja) プラズマ処理装置
KR20140101235A (ko) 분사형 플라즈마 발생기
KR900701034A (ko) 플라즈마 핀치 시스템과 그 사용방법
JP2022018484A (ja) プラズマ処理装置及びプラズマ処理方法
JPS5610932A (en) Plasma treating apparatus
EP1065502B1 (en) Method for analyzing the breakdown characteristics of a gaseous dielectric in a highly non-uniform field
KR200195115Y1 (ko) 반도체 웨이퍼 식각장비의 알에프 파워 분배장치
KR100837625B1 (ko) 기판 처리 장치 및 방법
KR100495711B1 (ko) 샤워헤드가 구비되는 평판표시소자 제조장치의 공정챔버
KR200169696Y1 (ko) 반도체 건식각장비의 전극조립체용 상부전극
KR20070040639A (ko) 반도체 제조 장치
KR101020769B1 (ko) 플라즈마 발생장치
KR200183543Y1 (ko) 반도체 웨이퍼 식각장치
KR200177296Y1 (ko) 반도체 식각장비의 챔버
KR970003611A (ko) 플라즈마 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121121

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20131118

Year of fee payment: 15

EXPY Expiration of term