KR930001351A - 전자기 rf 연결부를 사용하는 플라즈마 처리기 및 방법 - Google Patents

전자기 rf 연결부를 사용하는 플라즈마 처리기 및 방법 Download PDF

Info

Publication number
KR930001351A
KR930001351A KR1019920011241A KR920011241A KR930001351A KR 930001351 A KR930001351 A KR 930001351A KR 1019920011241 A KR1019920011241 A KR 1019920011241A KR 920011241 A KR920011241 A KR 920011241A KR 930001351 A KR930001351 A KR 930001351A
Authority
KR
South Korea
Prior art keywords
plasma
chamber
source zone
energy
gas
Prior art date
Application number
KR1019920011241A
Other languages
English (en)
Other versions
KR100255703B1 (ko
Inventor
에스. 콜린즈 케니스
에이. 로데릭 크레이그
알. 트로우 존
양 챤-논
유엔-퀴 옹 제리
마크스 제프리
알. 케스윅 피터
더블유. 그로첼 데이빗
Ⅱ 제이 디. 핀슨
이시카와 테츠야
층-라이 레이 로렌스
엠. 토시마 마사토
Original Assignee
제임스 조셉 드롱
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제임스 조셉 드롱, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 제임스 조셉 드롱
Publication of KR930001351A publication Critical patent/KR930001351A/ko
Application granted granted Critical
Publication of KR100255703B1 publication Critical patent/KR100255703B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

내용 없음

Description

전자기 RF 연결부를 사용하는 플라즈마 처리기 및 방멉
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도 내지 제3도는 본 발명에 따른 플라즈마 처리실의 부분 상세도.
제4도 내지 제9도는 전력 발생기의 임피런스를 맞추기 위하여 플라즈마 부하의 임피던스를 갖춘 동조회로의 개략선도,
제10도는 플라즈마 에칭 공정에 실리콘 및 산화실리콘의 에칭속도가 직류 바이어스 전압이 증가함에 따라서 변하는 것을 도시한 그래프.
제11도는 본 발명의 하나의 특징과 일치하는 직류 바이어스 전압의 파형에서 바이어스 전압이 고기저선 값에서 보다 낮은 값까지 주기적으로 펄스되는 것을 도시한 그래프.
제12도는 본 발명의 다른 특징과 일치하는 직류 바이어스 전압의 파형에서, 바이어스 전압은 첫번째 진동수에서 평균값 정도 변하고, 바이어스 전압의 외유의 진폭은 두번째 진동수, 첫번째 진동수 보다 낮음에 일치하여 변하는 것을 도시한 그래프.
제13도는 플라즈마 밀도를 형성시키기 위하여 균일한 자석을 배열한 플라즈마 처리실의 개략도.
제14A도 내지 제14D도는 처리공정을 향상시키기 위해서 축의 자기영역이 처리되어 지는 웨이퍼에 관하여 어떻게 형성화될 수 있는가를 도시한 개략도.
제15A도 및 제15B도는 처리실내에서 플라즈마와 연결하는 정상상태 정전기 영역을 감소시키기 위한 두개의 선택적인 패러데이 차례 구조를 도시한 부분 개략도.
제16도는 본 발명의 플라즈마 반응기의 다양한 구성요소를 조절하기 위한 예시적인 시스템의 블록도.

Claims (23)

  1. 재료를 처리하는 RF 플라즈마 처리 장치에 있어서, a) 플라즈마 근원구역을 포함하는 진공실, b) 처리될 재료를 챔버내 플라즈마 근원 구역의 외부의 위치에서 지지하는데 적합한 재료 지지부재, c) 처리용 가스를 플라즈마 근원구역으로 공급하기 위해서 챔버내에 장착된 가스 매니폴드, d) RF 에너지를 생성하는데 적합한 첫번째 및 두번째 RF 전력 발생기, e) 상기 처리용 가스로 플라즈마를 생성하기 위하여 첫번째 RF 전력 공급으로 부터 RF 에너지를 플라즈마 근원구역에 전자기적으로 연결하기 위한 플라즈마 여기수단, f) 챔버내 근원구역 및 상기재료위치 근처에 장착된 음극전극, 즉 하전된 입자가 플라즈마에서 음극전극을 향하여 흐르도록 유도하는 전장을 생성하기 위하여 전기전력원을 통하여 플라즈마에 전기적으로 연결되는 음극전극, g) 두번째 RF 파워 공급으로부터 RF 에너지를 챔버에 용량적으로 연결하여서 플라즈마 피복을 가로질러 전압을 설정하기 위하여, 챔버내에 장착된 양극, 그리고 h) 규소이온을 챔버로 공급하기 위한 규소원으로 구비되는 것을 특징으로 하는 RF 플라즈마처리장치.
  2. 제1항에 있어서, 상기 음극 혹은 상기 양극에 전기적으로 연결된 세번째 전극을 포함하는 것을 특징으로 하는 RF 플라즈마 처리장치.
  3. 제2항에 있어서, 상기 세번째 전극은 상기 플라즈마 근원구역내에 위치되고, 규소함유 재료로 제조되고, 상기 규소원인 것을 특징으로 하는 RF 플라즈마 처리장치.
  4. 제1항에 있어서, RF 에너지를 근원구역에 전자기적으로 연결하기 위한 수단은 플라즈마 근원 구역에 인접한 RF 안테나를 포함하는 것을 특징으로 하는 RF 플라즈마 처리장치.
  5. 제4항에 있어서, 안테나에서 나온 RF 에너지는 전장성분을 챔버에 직접 연결하는 것을 방지하기 위하여 RF 안테나와 챔버 사이에 전기적으로 유도생 피복을 구성하여서, 안테나에서의 RF 에너지가 플라즈마 근원구역으로 유도적으로 연결되도록 하는 것을 특징으로 하는 RF 플라즈마 처리장치.
  6. 제1항에 있어서, 장치에서, 처리용 가스는 두번째 재료위에 표면 안정층이 형성되는 반면에 첫번째 재료는 에칭될 수 있는 성분을 포함하고; 장치는 고저값 사시에 교번되는 직류 바이어스 전압을 음극에 적용하기 위한 전압원을 포함하며, 고값은 실질적인 속도에서 에칭되는 첫번째 재질로 구성되는 재료의 노출된 부분을 일으키는 전압이고, 저값은 두번째 재질로 구성되는 재료의 노출된 부부위에 표면 안정층이 형성되는 반면에 저속에서 에칭되는 첫번째 재질의 상기 노출된 부분을 일으키는 전압이 되는 것을 특징으로 하는 RF플라즈마 처리장치.
  7. RF 플라즈마 처리장치에 있어서, a) 플라즈마 근원구역을 포함하는 진공실, b) 처리될 재료를 챔버내 플라즈마 근원 구역의 외부의 위치에서 지지하는데 적합한 재료 지지부재, c) 처리용 가스를 플라즈마 근원구역으로 공급하기 위해서 챔버내에 장착된 가스 매니폴드, d) RF 에너지를 생성하는데 적합한 첫번째 및 두번째 RF 전력발생기, e) 상기 처리용 가스로 플라즈마를 생성하기 위하여 첫번째 RF 전력 공급으로 부터 RF 에너지를 플라즈마 근원구역에 전자기적으로 연결하기 위한 플라즈마 여기수단, f) 챔버내 근원구역 및 상기 재료위치 근처에 장착된 음극전극, 즉 하전된 입자가 플라즈마에서 츰극전극을 향하여 흐르도록 유도하는 전장을 생성하기 위하여 전기전력원을 통하여 플라즈마에 전기적으로 연결되는 음극전극, 그리고 g) 두번째 RF 파워 공급으로 부터 RF에너지를 챔버에 용량적으로 연결하여서 플라즈마 피복을 가로질러 전압을 설정하기 위하여 챔버내에 장착된 양극을 구성하고, h) 장치에서 첫번째 RF 전력 공급은 재료의 전류에 의해서 발생되는 상기 재료의 손상을 피하기 충분한 정도의 저주파수 및 플라즈마에 RF에너지의 효율적인 연결을 제공하기에 충분할 정도의 고주파에서 RF에너지를 생성함을 특징으로 하는 RF 플라즈마 처리장치.
  8. RF 플라즈마 처리장치에 있어서, a) 플라즈마 근원구역을 포함하는 진공실, b) 처리될 재료를 채버내 플라즈마 근원 구역의 외부의 위치에서 지지하는데 적합한 재료 지지부재, c) 처리용 가스를 플라즈마 근원구역으로 공급하기 위해서 챔버내에 장착된 가스 매폴드, d) RF 에너지를 생성하는데 적합한 첫번째 및 두번째 RF 전력발생기, e) 상기 처리용 가스로 플라즈마를 생성하기 위하여 첫번째 RF 전력공급으로 부터 RF 에너지를 플라즈마 근원구역에 전자기적으로 연결하기 위한 플라즈마 여기수단, f) 챔버내 근원구역 및 상기 재료위치 근처에 장착된 음극전극, 즉 하전된 입자가 플라즈마에서 음극전극을 향하여 흐르도록 유도하는 전장을 생성하기 위하여 전기전력원을 통하여 플라즈마에 전기적으로 연결되는 음극전극, 그리고 g) 두번째 RF 파워 공급으로 부터 RF에너지를 챔버에 용량적으로 연결하여서 플라즈마 피복을 가로질러 전압을 설정하기 위하여 챔버내에 장착된 양극을 구성하고, h) 장치에서 두번째 RF 전력 공급은 재료의 전류에 의해서 발생되는 상기 재료의 손상을 피하기 충분한 정도의 저주파수 및 플라즈마에 RF 에너지의 효율적인 연결을 제공하기에 충분할 징도의 고주파수에서 RF 에너지를 생성함을 특징으로 하는 RF 플라즈마 처리장치.
  9. 장치에서 첫번째 RF 전력 공급은 재료의 전류에 의해서 발생되는 상기 재료의 손상을 피하기 충분한 정도의 저주파수 및 플라즈마에 RF 에너지의 효율적인 연결을 제공하기에 충분할 정도의 고주파수에서 RF 에너지를 생성함을 특징으로 하는 RF 플라즈마 처리장치.
  10. 제7항 혹은 제9항에 있어서, 첫번째 RF 전력공급의 주파수는 약 300KHz 내지 3MHz 범위내에 있음을 특징으로 하는 장치.
  11. 제8항 내지 제9항에 있어서, 두번째 RF 전력 공급의 주파수는 약 300KHz 내지 3MHz 범위내에 있음을 특징으로 하는 장치.
  12. 챔버의 한단부 근처에 플라즈마 근원구역을 구비하는 진공실과 챔버내 플라즈마 근원구역 외부위치에 처리될 재료를 지지하기에 적합한 지지구조체와 처리용 가스를 챔버로 공급하기 위한 가스 매니폴드 수단과, 처리용 가스에서 플라즈마를 형성하기 위하여 플라즈마 근원구역으로 RF 에너지를 연결하기 위한 플라즈마 여기수단을 구성하는 RF 플라즈마 처리장치에 있어서, 가스 매니폴드 수단은 챔버의 상기 한 단부 근처에 위치한 가스 주입구와 챔버의 상기 한단부에서 멀리있는 플라즈마 근원의 경계근처에 위치되는 첫번째 링 매니폴드와, 재료위치를 둘러싸는 두번째 링 매니폴드로 구성되는 것을 특징으로 하는 RF 플라즈마 처리장치.
  13. 챔버의 한단부 근처에 플라즈마 근원구역을 구비하는 진공실과 챔버내 플라즈마 근원구역 외부위치에 처리될 재료를 지지하기에 적합한 지지구조체와 처리용 가스를 챔버로 공급하기 위한 가스 매니폴드 수단과, 처리용가스에서 플라즈마를 형성하기 위하여 플라즈마 근원구역으로 RF 에너지를 연결하기 위한 플라즈마 여기수단과, 그리고 챔버내애서 근원구역 외부 및 상기 재료위치 근처에 장착되는 음극, 즉 하전된 입자가 플라즈마에서 음극을 향하여 흐르도록 유도하는 전장을 생성하기 위하여 전력원을 통해서 플라즈마와 전기적으로 연결되는 음극을 구성하는 RF플라즈마 처리장치에 있어서, 상기 장치는 플라즈마근원 내 혹은 근처에 장착되는 챔버내 첫번째 가스 배출구와 플라즈마 근원구역이라기 보다는 재료위치에 더 가깝게 장착되는 챔버내 두번째 유속으로 챔버에서 가스를 배출하기 위하여 첫번째 및 두번째 가스 배출구와 연결된 진공펌프를 각각 구성하고, 상기 장치에서 첫번째 유속은 첫번째 배출구를 통하여 플라즈마 근원구역내 하전되지 않은 입자를 전기적으로 배출되게 하는 입력차를 발생시키기 위하여 두번째 유속보다는 충분히 크고, 첫번째 및 두번째 유속차는 전기적으로 하전된 플라즈마 근원 구역내 입자가 음극을 향하여 흐르도록 하기 위해 충분히 작음을 특징으로 하는 RF 플라즈마 처리장치.
  14. 재료표면의 선택된 면적을 플라즈마 에칭에 적합한 방법에 있어서, 진공실 내부의 음극 근처에 재료를 위치시키는 단계와, 두번째 재질위에 표면 안정층을 형성하는 반면에 첫번째 재질을 에칭할 수 있는 성분을 구비한 처리용 가스를 챔버로 공급하는 단계와, 처리용 가스에서 플라즈마를 생성하기 위하여 챔버로 RF 에너지를 연결하는 단계와, 그리고, 높은 값과 낮은값 사시에 교번하는 직류바이어스 전압을 음극에 가하는 단계로 이루어지며, 높은 값은 실질적인 속도로 첫번째 재질로 구성된 재료의 노출된 부분을 에칭시키는 전압이고, 낮은 값은 두번째 재질로 구성된 재료의 노출된 부분위에 표면안정층이 생성되는 반면에 낮은 속도로 첫번째 재질의 상기 노출된 부분을 에칭시키는 전압임을 특징으로 하는 방법.
  15. 제14항에 있어서, 처리용 가스는 할로겐을 포함하며, 첫번째 재질은 산소를 포함하며, 두번째 재질은 산소를 포함하지 않는 것을 특징으로 하는 방법.
  16. 제15항에 있어서, 표면안정층은 탄소 및 할로겐의 폴리머임을 특징으로 하는 방법.
  17. 제15항 혹은 제16항에 있어서, 할로겐은 플루오린임을 특징으로 하는 방법.
  18. 챔버의 한단부 근처에 플라즈마 근원구역을 구비하는 진공실과 챔버내 플라즈마 근원구역 외부위치에 처리될 재료를 지지하기에 적합한 지지 구조체와 처리용 가스를 챔버로 공급하기 위한 가스 매니폴드 수단과, 처리용 가스에서 플라즈마를 형성하기 위하여 플라즈마 근원구역으로 RF 에너지를 연결하기 위한 플라즈마 여기수단과, 그리고 챔버내에서 근원 구역 외부 및 상기 재료위치 근처에 장착되는 음극, 즉 하전된 입자가 플라즈마에서 음극을 향하여 흐르도록 유도하는 전장을 생성하기 위하여 전력원을 통해서 플라즈마와 전기적으로 연결되는 음극을 구성하는 RF 플라즈마 처리장치에 있어서, 상기 장치는 챔버내 자장 즉 플라즈마 근원구역과 음극사이에 위치된 판에 실질적으로 평행하고 한정된 자장을 생성하는 자석구조를 포함하며, 상기 판은 플라즈마에서 하전된 입자가 음극으로 흐르는 일반적인 방향에 대해서 수직으로 놓여있고, 자석구조에 의해서 자장은 플라즈마 근원 구역내 자유전자가 판을 가로지르는 것을 방지하는 반면에, 이온이 판을 가로질러서 음극으로 흐르는 것을 허용하는 것을 특징으로 하는 장치.
  19. 제18항에 있어서, 자석구조의 자장판은 재료위치에 상당히 큰 자장이 미치는 것을 방지하기 위하여 재료위치와 떨어져 있음을 특징으로 하는 장치.
  20. 제18항 혹은 제19항에 있어서, 자석구조는 서로를 향하여 반대되는 극을 구비한 인접된 자석으로 간격을 갖고 떨어져 있는 막대형 자석의 배열을 구성하는 것을 특징으로 하는 장치.
  21. 챔버의 한단부 근처에 플라즈마 근원구역을 구비하는 진공실과 챔버내 플라즈마 근원구역 외부위치에 처리될 재료를 지지하기에 적합한 지지구조체와 처리용 가스를 챔버로 공급하기 위한 가스 매니폴드 수단과, 처리용 가스에서 플라즈마를 형성하기 위하여 플라즈마 근원구역으로 RF 에너지를 연결하기 위한 플라즈마 여기수단과, 그리고 챔버내에서 근원구역 외부 및 상기 재료위치 근처에 장착되는 음극, 즉 하전된 입자가 플라즈마에서 음극을 향하여 흐르도록 유도하는 전장을 생성하기 위하여 전력원을 통해서 플라즈마와 전기적으로 연결되는 음극을 구성하는 RF 플라즈마 처리장치에 있어서, 챔버의 일부는 유전성벽에 의해서 둘러지며, 상기 장치는 벽에 평행하게 벽의 내부에 자장을 생성하는 자석구조를 구성하고, 이에 의해서, 자석구조는 전기적으로 하전된 입자가 벽에 충격을 가함을 금하는 것을 특징으로 하는 장치.
  22. 제21항에 있어서, 자석구조는 벽을 둘러싸는 간격을 갖고 떨어진 배열을 구성하며, 상기 자석은 벽에 평행한 방향의 극을 가지며, 인접된 자석의 극은 반대극성이며, 자석은 자석구조가 재료위치에서 실질적인 자장을 형성하지 않도록 벽의 인접된 공간으로 자장을 한정하기에 충분할 정도로 밀접하게 간격을 가지는 것을 특징으로 하는 장치.
  23. 진공실과 RF 전력 공급기와 챔버로 RF 에너지를 방사하기 위해서 RF 전력공급기에 전기적으로 연결되고 위치된 안테나를 구성하는 RF 플라즈마 처리 장치에 있어서, RF 전력공급기는 첫번째 및 두번째 출력 터미날을 구비하고 첫번째 터미날은 직접 안테나의 한 지점에 연결되고, 첫번째 및 두번째 가변성 축전지는 각각 안테나의 첫번째와 두번째 단부 사이 및 두번째 전력 공급 터미날에 연결되어서, 안테나 및 RF 전력 공급 사이에 임피던스 매치는 유전자를 요구함이 없어 두개의 가변성 축전지를 조정하므로써 전력공급기와 안테나 사이에 연결되는 것을 가능하게 할 수 있음을 특징으로 하는 RF 플라즈마 처리장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019920011241A 1991-06-27 1992-06-26 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법 KR100255703B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US72234091A 1991-06-27 1991-06-27
US7/722340 1991-06-27
US07/722,340 1991-06-27
US82485692A 1992-01-24 1992-01-24
US7/824856 1992-01-24

Publications (2)

Publication Number Publication Date
KR930001351A true KR930001351A (ko) 1993-01-16
KR100255703B1 KR100255703B1 (ko) 2000-05-01

Family

ID=27110578

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920011241A KR100255703B1 (ko) 1991-06-27 1992-06-26 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법

Country Status (3)

Country Link
EP (1) EP0520519A1 (ko)
JP (1) JP2635267B2 (ko)
KR (1) KR100255703B1 (ko)

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
TW260857B (ko) * 1993-03-04 1995-10-21 Tokyo Electron Co Ltd
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
DE4337309A1 (de) * 1993-08-26 1995-03-02 Leybold Ag Verfahren und Vorrichtung zum Ätzen von dünnen Schichten, vorzugsweise von Indium-Zinn-Oxid-Schichten
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5431799A (en) * 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
TW296534B (ko) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5722668A (en) * 1994-04-29 1998-03-03 Applied Materials, Inc. Protective collar for vacuum seal in a plasma etch reactor
EP0685873B1 (en) * 1994-06-02 1998-12-16 Applied Materials, Inc. Inductively coupled plasma reactor with an electrode for enhancing plasma ignition
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5540824A (en) 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
JPH08225947A (ja) * 1994-12-16 1996-09-03 Canon Inc プラズマ処理方法及びプラズマ処理装置
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6264812B1 (en) * 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JPH1081973A (ja) * 1996-03-18 1998-03-31 Hyundai Electron Ind Co Ltd 誘導結合形プラズマcvd装置
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (ko) 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6353206B1 (en) 1996-05-30 2002-03-05 Applied Materials, Inc. Plasma system with a balanced source
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6369349B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc. Plasma reactor with coil antenna of interleaved conductors
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6165375A (en) * 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
US6232233B1 (en) * 1997-09-30 2001-05-15 Siemens Aktiengesellschaft Methods for performing planarization and recess etches and apparatus therefor
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
EP1071833B1 (en) * 1998-04-21 2005-09-07 Applied Materials, Inc. Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6297147B1 (en) 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US7053002B2 (en) 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
DE19957169A1 (de) * 1999-11-27 2001-06-13 Bosch Gmbh Robert Plasmaätzverfahren mit gepulster Substratelektrodenleistung
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
JP2002093598A (ja) 2000-07-11 2002-03-29 Daihen Corp プラズマ発生装置
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
GB0100958D0 (en) * 2001-01-13 2001-02-28 Surface Technology Systems Ltd Plasma processing apparatus
US6436267B1 (en) 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
TW479315B (en) 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
TW478099B (en) 2000-11-03 2002-03-01 Applied Materials Inc Shallow trench isolation manufacture method
JP2002237486A (ja) 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
DE10147998A1 (de) * 2001-09-28 2003-04-10 Unaxis Balzers Ag Verfahren und Vorrichtung zur Erzeugung eines Plasmas
KR100451034B1 (ko) * 2002-08-27 2004-10-02 주식회사 테라텍 반도체 소자 제조방법
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6932092B2 (en) 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
KR100808862B1 (ko) 2006-07-24 2008-03-03 삼성전자주식회사 기판처리장치
KR100855875B1 (ko) * 2006-12-22 2008-09-03 세메스 주식회사 가스 분사 노즐과, 이를 이용한 기판 처리 장치 및 방법
KR101283645B1 (ko) * 2007-01-25 2013-07-09 최대규 내장 무선 주파수 안테나를 구비한 유도 결합 플라즈마반응기
US20100330805A1 (en) * 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8540851B2 (en) * 2009-02-19 2013-09-24 Fujifilm Corporation Physical vapor deposition with impedance matching network
DK2251453T3 (da) 2009-05-13 2014-07-07 Sio2 Medical Products Inc Beholderholder
US9545360B2 (en) 2009-05-13 2017-01-17 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
JP2013532387A (ja) * 2010-06-25 2013-08-15 アプライド マテリアルズ インコーポレイテッド イオン電流を低減したプレクリーンチャンバ
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
CN103930595A (zh) 2011-11-11 2014-07-16 Sio2医药产品公司 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US10825708B2 (en) 2011-12-15 2020-11-03 Applied Materials, Inc. Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability
CA2890066C (en) 2012-11-01 2021-11-09 Sio2 Medical Products, Inc. Coating inspection method
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
JP6382830B2 (ja) 2012-11-30 2018-08-29 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 医療シリンジ、カートリッジ等上でのpecvd堆積の均一性制御
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
CN110074968B (zh) 2013-03-11 2021-12-21 Sio2医药产品公司 涂布包装材料
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
EP3122917B1 (en) 2014-03-28 2020-05-06 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
JP2018523538A (ja) 2015-08-18 2018-08-23 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 低酸素透過速度を有する薬剤包装及び他の包装
KR101938306B1 (ko) * 2016-04-18 2019-01-14 최상준 건식 에칭장치의 제어방법
CN107369604B (zh) * 2016-05-12 2019-10-11 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
JP6529996B2 (ja) * 2017-02-06 2019-06-12 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
WO2019241405A1 (en) 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
KR20210018761A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법
CN113130285B (zh) * 2019-12-31 2022-04-15 江苏鲁汶仪器有限公司 一种陶瓷进气接射频清洗装置
CN111477539A (zh) * 2020-05-14 2020-07-31 西安奕斯伟硅片技术有限公司 硅片处理方法及装置
CN114879458A (zh) * 2022-05-31 2022-08-09 上海稷以科技有限公司 一种改善谐振腔牺牲层释放效率的方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS559464A (en) * 1978-07-07 1980-01-23 Toshiba Corp Production method of bipolar integrated circuit containing i2 l
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
JPS627268A (ja) * 1985-07-03 1987-01-14 Nec Corp フアクシミリ装置
JPS62291922A (ja) * 1986-06-12 1987-12-18 Canon Inc プラズマ処理装置
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma

Also Published As

Publication number Publication date
JP2635267B2 (ja) 1997-07-30
EP0520519A1 (en) 1992-12-30
JPH05206072A (ja) 1993-08-13
KR100255703B1 (ko) 2000-05-01

Similar Documents

Publication Publication Date Title
KR930001351A (ko) 전자기 rf 연결부를 사용하는 플라즈마 처리기 및 방법
US5430355A (en) RF induction plasma source for plasma processing
KR101333924B1 (ko) 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
US5607542A (en) Inductively enhanced reactive ion etching
US6499424B2 (en) Plasma processing apparatus and method
US6022460A (en) Enhanced inductively coupled plasma reactor
KR100774521B1 (ko) 다중 안테나 코일군이 구비된 유도결합 플라즈마 반응장치
JP2005514762A (ja) 加工物をプラズマ処理するための磁気フィルタを備える方法および装置
KR20100126528A (ko) 단일 에너지의 중성 빔 활성화된 화학적 처리 시스템 및 사용 방법
JPH10261621A (ja) プラズマ処理装置
WO2002023588A2 (en) Capacitively coupled plasma reactor
KR0142041B1 (ko) 플라스마발생장치 및 방법
US6909086B2 (en) Neutral particle beam processing apparatus
TW200812444A (en) Compound plasma source and method for dissociating gases using the same
KR950034507A (ko) 헬리콘파플라즈마처리방법 및 장치
CN110770880A (zh) 等离子处理装置
JPH0770755A (ja) 基板を被覆あるいはエッチングする装置
RU2196395C1 (ru) Плазменный реактор и устройство для генерации плазмы (варианты)
KR100501823B1 (ko) 플라즈마 발생 방법 및 그 장치
RU2151438C1 (ru) Плазменный источник ионов с ленточным пучком (варианты)
KR102295727B1 (ko) 기판 처리 장치
KR100391180B1 (ko) 기재표면의 플라즈마 화학처리 방법 및 장치
JP3368790B2 (ja) イオン源装置
JPS57181376A (en) Dry etching device
JP3071450B2 (ja) マイクロ波プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
O035 Opposition [patent]: request for opposition
O074 Maintenance of registration after opposition [patent]: final registration of opposition
O132 Decision on opposition [patent]
LAPS Lapse due to unpaid annual fee