JP2005514762A - 加工物をプラズマ処理するための磁気フィルタを備える方法および装置 - Google Patents
加工物をプラズマ処理するための磁気フィルタを備える方法および装置 Download PDFInfo
- Publication number
- JP2005514762A JP2005514762A JP2003555541A JP2003555541A JP2005514762A JP 2005514762 A JP2005514762 A JP 2005514762A JP 2003555541 A JP2003555541 A JP 2003555541A JP 2003555541 A JP2003555541 A JP 2003555541A JP 2005514762 A JP2005514762 A JP 2005514762A
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- source
- processing
- workpiece
- region
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3266—Magnetic control means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
- H01J37/32954—Electron temperature measurement
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- ing And Chemical Polishing (AREA)
- Physical Vapour Deposition (AREA)
Abstract
【課題】加工物をプラズマ処理するための磁気フィルタを備える方法および装置
【解決手段】プラズマ処理装置は、ガスソース材料を装置のソース領域に噴射するためのソースガス噴射装置と、ソースプラズマを発生するためにエネルギーをソース材料へ送るためのプラズマ発生装置と、ガス処理材料を装置の処理領域へ噴射するための処理ガス噴射装置とを含んでいる。磁気フィルタアセンブリは、処理領域に処理プラズマを発生するべく、ソースプラズマからガス処理材料への荷電粒子の流れを制御するために、ソース領域と処理領域とのほぼ間に磁界を設ける。ソースプラズマと接触した状態のソース電極は、ソースプラズマの電位を制御する。電極は、加工物を支持し、荷電粒子が加工物に衝突するように処理プラズマからの荷電粒子を加工物の方へ向って引き付けるために電位を発生する。
【解決手段】プラズマ処理装置は、ガスソース材料を装置のソース領域に噴射するためのソースガス噴射装置と、ソースプラズマを発生するためにエネルギーをソース材料へ送るためのプラズマ発生装置と、ガス処理材料を装置の処理領域へ噴射するための処理ガス噴射装置とを含んでいる。磁気フィルタアセンブリは、処理領域に処理プラズマを発生するべく、ソースプラズマからガス処理材料への荷電粒子の流れを制御するために、ソース領域と処理領域とのほぼ間に磁界を設ける。ソースプラズマと接触した状態のソース電極は、ソースプラズマの電位を制御する。電極は、加工物を支持し、荷電粒子が加工物に衝突するように処理プラズマからの荷電粒子を加工物の方へ向って引き付けるために電位を発生する。
Description
本発明は、例えば、加工物への材料の蒸着、あるいは、加工物からの材料のエッチングに使用されることができるタイプのプラズマ処理システムに関する。本発明は、より詳細には、加工物を処理するのに使用されるプラズマの特性を改良する方法および装置に向けられている。
本出願は、2001年12月20日出願の米国仮出願第60/341,260号の出願日に基づいて、その恩典を得るものであり、その内容は、参照により完全にここに組み込まれる。
処理プラズマは、加工物を処理する(すなわち、加工物から材料を取り除くか、あるいは、加工物に材料を蒸着する)のに使用されることができる荷電粒子とラジカルとの集合体である。処理プラズマは、集積回路(IC)装置、フラットパネルディスプレイおよび他の製品の製造に使用される。処理プラズマは、例えば、IC製造の間、半導体ウエハの形状の加工物から材料をエッチングする(すなわち、取り除く)、あるいは、半導体ウエハの形状の加工物に材料をスパッタする(すなわち、蒸着する)のに使用されることができる。
処理プラズマは、加工物を処理する(すなわち、加工物から材料を取り除くか、あるいは、加工物に材料を蒸着する)のに使用されることができる荷電粒子とラジカルとの集合体である。処理プラズマは、集積回路(IC)装置、フラットパネルディスプレイおよび他の製品の製造に使用される。処理プラズマは、例えば、IC製造の間、半導体ウエハの形状の加工物から材料をエッチングする(すなわち、取り除く)、あるいは、半導体ウエハの形状の加工物に材料をスパッタする(すなわち、蒸着する)のに使用されることができる。
反応性処理プラズマは、処理ガスをプラズマチャンバへ導入し、次に、そのガスをイオン化して、解離することによって発生されることができる。このチャンバ内で発生されるプラズマは、加工物の処理の間に加工物に衝突する。工業用のプラズマ処理操作の品質および効率は、処理プラズマの特性および処理プラズマを発生する方法を改良することによって向上されることが可能である。
本発明は、加工物をプラズマで処理する方法および装置を提供する。この装置の例示的な実施形態は、ガスソース材料(gaseous source material)を装置のソース領域へ噴射するように構成され、配置されたソースガス噴射装置と、このソース領域にプラズマ発生と関係し取り付けられるプラズマ発生装置とを含む。このプラズマ発生装置は、ソースプラズマを発生するべく、エネルギーをソース領域のガスソース材料に送るように構成され、配置されている。この装置は、ガス処理材料(gaseous process material)を装置の処理領域へ噴射するように構成され、配置された処理ガス噴射装置と、処理領域に処理プラズマを発生するべく、ソースプラズマから処理ガス材料への荷電粒子の流れを制御するためにソース領域と処理領域とのほぼ間に磁界を設けるように構成され、配置された磁気フィルタアセンブリとを含む。ソース電極は、ソースプラズマと接触した状態であり、ソースプラズマの電位を制御するように構成され、配置されている。この装置は、荷電粒子がその加工物に衝突するように加工物を支持するための支持構造を含む。
加工物を処理する例示の方法は、ソースプラズマを発生することと、処理ガスを供給することと、処理ガスから処理プラズマを発生するために、かつ、処理プラズマの特性を制御するために、ソースプラズマから処理ガスへの荷電流子の流れを制御することと、処理プラズマからの荷電粒子と加工物を衝突させることとを含む。
図1は、本発明の原理により構成されたプラズマ処理装置12を含むプラズマ処理システムの一例の概略図を示している。このプラズマ処理装置12は、図2の分離図に概略的に示されている。プラズマ処理装置12は、内部エリア16を有する反応チャンバ14を含む。この内部エリア16は、ソースプラズマ20(図2を参照)を含有して支持するソース領域18と、処理プラズマ24を含有して支持する処理領域22とを含む。
螺旋形状の、あるいは、コイル形状の無線周波数(RF)アンテナ26の形をとるプラズマ発生装置は、反応チャンバ14の上に取り付けられている。図1および図2の例示的な実施形態には、変成器結合プラズマ(transformer coupled plasma:TCP)チャンバおよびアンテナ26が、螺旋アンテナ、あるいは、螺旋部材26の形をとっている。この螺旋部材26は、ソースプラズマ20を発生するために、エネルギーをソース領域18内のガスソース材料へ送るように構成され、配置されている。螺旋部材26は、ソース領域18に誘導結合プラズマ(inductively coupled plasma:ICP)を発生するように作用されることができる。螺旋部材26は、マッチングネットワーク30を介して電源28と電気的に接続した状態であるのがよい。この電源28は、RFパワー信号を送ることが可能である。マッチングネットワーク30は、電源28から螺旋部材26へ伝達されるパワーを最大にするために、RF電源28と螺旋部材26との間に挿入されることができ、それによって、螺旋部材26からソースプラズマ20へ伝達されるパワーを最大にする。
螺旋部材26は、反応チャンバ14の上に取り付けられたシールド構造32によって被覆されることができる。このシールド構造32は、励起された螺旋部材26のシールドをもたらすのに適切な材料(例えば、導体材料などの)から構成されることができる。シールド構造32は、さらに、電源28から螺旋部材26へのパワー伝達の効率を向上させることができる。螺旋部材26は、さらに、励起された螺旋部材26によって生成されるRFパワーを反応チャンバ14のソース領域20へ送ることを容易にするために、誘電材料から構成されることができる支持構造34に取り付けられることができる。より詳細には、反応チャンバ14の頂部壁は、支持構造34を備える誘電材料によって被覆される開口を含む。支持構造34は、この開口を密封し、真空が、反応チャンバ14の内部エリア16に生成されることを可能にし、RFパワーが、その内部エリア16に入ることを可能にする。励起された螺旋部材26は、比較的高い均一な密度を有する誘電結合ソースプラズマ20を生成するために、エネルギーをソース領域18内のガスソース材料に送るように作用されることができる。
反応チャンバ14は、アルミニウムなどの適切な金属材料から構成されることができる外壁40を含む。反応チャンバ14は、ソース領域18と処理領域22とを少なくとも部分的に囲む1つ以上の外側の側壁部を含む。この壁40は、プラズマ処理の間、大地電位と電気的に接続した状態であるのがよい。
プラズマ電位制御電極36の形をとるソース電極は、反応チャンバ14内に取り付けられ、ソースプラズマ20の電位を制御する。この電位制御電極36は、反応チャンバ14の壁40から電極36を電気的に分離する電気的不導電性構造である絶縁体支持体(isolator support)38の上に取り付けられる。電極36は、マッチングネットワーク44を介してRF電源42と電気的に接続した状態である。このマッチングネットワーク44は、プラズマ電位制御電極36によってソースプラズマ20に伝達されるパワーを最大にするために、RF電源42と電極36との間に挿入されることができる。電極36は、ソースプラズマと実質的に接触した状態の少なくとも1つの表面を含む。
チャック電極46の形をとる電極アセンブリは、螺旋部材26が取り付けられるチャンバ14の一方側に対しチャンバ14の対向する側に取り付けられる。このチャック電極46は、加工物48(例えば、半導体ウエハでよい)を支持するように機能するチャンバ内に支持構造をもたらす。チャック電極46はまた、励起されて、荷電粒子が加工物48に衝突するように処理プラズマ24からの荷電粒子を加工物48の方へ向って引き付ける電位を生成し、加工物48から材料をエッチングしたり、あるいは、加工物48に材料をスパッタする。例示のチャック電極46は、処理領域22の方へほぼ向ったり、処理領域22から離れるように動くために反応チャンバ14内に可動に取り付けられ、チャンバ14の処理領域に支持された処理プラズマと加工物との間の間隔を調節する。より詳細には、チャック電極46は、フレキシブルな蛇腹構造50内に密封される機械的アセンブリ(図示せず)によって支持され、そのために、チャック電極46および加工物48は、プラズマ処理操作の前に、あるいは、間に、軸方向に可動である。チャック電極46は、パワー伝達を最大にするために、マッチングネットワーク54を介して電源52と電気的に接続した状態であるのがよい。
例示のチャック電極46は、RF電源52と電気的に接続した状態の電極である。このチャック電極46は、プラズマ処理操作の間、地電圧、あるいは、RFバイアスを有することがある。チャック電極46への電気通路は、さらに、チャック電極46を介してパワー伝達を最適にするのに使用されることができるインピーダンスマッチングネットワーク54を備えることができる。チャック電極の電気バイアスは、当業者には周知である。
装置12は、ソースガス噴射装置56と処理ガス噴射装置60とを含む。処理ガス噴射装置56は、ソース領域18へ噴射される1つ以上のガスを供給するように動作するソースガス供給システム58に結合されている。ソースガス噴射装置56は、螺旋部材26の付近の反応チャンバ14に取り付けられ、1つのソースガス(または複数のガス)をソース領域18へ噴射するように動作可能である。ソースガス噴射装置56の例は、ほぼ還状の構造である。ソースガス噴射装置56は、一般に、螺旋部材26の周辺(すなわち、360°)まわりに取り付けられることができ、(螺旋部材26の360度周辺まわりに)噴射するように動作することができ、図2において方向矢印Gsによって表示されるようにソース領域18の中央の方に向って1つ以上のソースガスを分配することができる。ソースガス材料は、例えば、キャリアガス(アルゴンなどの)および/またはエッチングガスを含むことができる。
処理ガス噴射装置60は、例えば、図2に示されるように、互いに等しい一定の間隔がおかれることができ、反応チャンバ14全体にわたって取り付けられることができるチューブ62の配列を含む。複数のチューブ62は、処理ガス供給システム64に結合される。この供給システム64は、反応チャンバ14の処理領域への噴射のために1つ以上の処理ガスを供給することができる。各ガス噴射チューブ62は、図2において方向矢印Gpによって表示されるように、ガス処理材料を反応チャンバ14の処理領域22の方へ向けるように方向付けられる1つ以上のガス出口開口(図示せず)を含む。チューブ62の配列は、処理領域22の1つの処理ガスまたは複数の処理ガスを分配する例示の反応チャンバ14にガス出口開口の相称的配列、あるいは、別の相称的構成、または、非相称的構成を設けることができる。シリコンから構成されることができるカバー構造66は、チューブ62の配列に近接して、チューブ62とチャック電極46との間に取り付けられることができ、プラズマ衝撃からガス分配チューブを保護する。
選択された1つのガス(または複数のガス)は、例えば、チャンバ14を一掃するために、あるいは、チャンバ内部16におけるプラズマ組成のためにそれぞれに1つのガスまたは複数のガスとしての機能を果たすために、ソースガス噴射装置56および/または処理ガス噴射装置60に供給されることができる。プラズマ処理装置12は、真空ラインを介してプラズマチャンバ14に結合された真空システム72を含む。この真空システム72は、反応チャンバ14の内部エリア16からガスを除去するために、図2の概略図に示されるように、ガス出口開口74を介して反応チャンバ14に結合されることができる。
磁気フィルタアセンブリ68は、プラズマ電位制御電極36と処理ガス噴射装置60とのほぼ間の反応チャンバ14内に取り付けられる。以下に詳細に考慮されるように、この磁気フィルタアセンブリ68は、処理領域22に処理プラズマ24を発生するべく、ソース領域18のソースプラズマ20から処理ガスへの荷電粒子の流れを制御するために、ソース領域18と処理領域22とのほぼ間に磁界を設ける。この磁界アセンブリ68は、永久磁石、電磁石、あるいは、両方の組合せを含むことができる。磁気フィルタアセンブリは、以下に詳細に考慮されている。磁気フィルタアセンブリ68は、DC電流か、RF電流かのいずれかを磁気フィルタアセンブリ68に供給することができる電源70と電気的に接続した状態である。
螺旋部材26および電極36、46は、冷却システム76から、螺旋部材26および/または各電極36、46と連結される1つ以上の流体チャンバ(図示せず)を通って、次に、冷却システム76に戻って循環する流体によって独立して冷却されることができる。
プラズマ処理装置12は、任意に、複数の電極の形をとる複数の電圧探針(図示せず)を含むことができる。各電極は、RF電源28、42、52または70と、その関連装置26、36、46または68との間のそれぞれの送電ラインに静電結合されることができる。例示の電圧探針は、同一人に譲渡された係属米国特許第60/259,862号(2001年1月8日出願)に詳細に記述されており、この出願は、参照により完全にここに組み込まれる。プラズマ処理装置12は、任意に、プラズマの分光および/または光学特性に基づきプラズマ特性および状態を測定するための光学探針78を含むことができる。
例示の装置12は、さらに、装置12の様々なコンポーネントに電気的に接続した状態の制御システム80を含み、装置12の様々なコンポーネントをモニタおよび/または制御する。この制御システム80は、ガス供給システム58、64と、真空システム72と、冷却システム76と、電圧探針(図示せず)と、光学探針78と、各RF電源28、42、52、70と電気的に接続した状態であり、それらの作用を制御するようにプログラムされることができる。マッチングネットワーク30、44、54は、任意に、制御システム80に結合され、制御システム80によって制御されることができる。そのうえ、チャック電極46のための電子−機械的に作用される並進運動ステージ50は、制御システム80からの命令によって作用され、制御されることが可能である。
制御システム80は、制御信号をシステムコンポーネント58、64、72、76、78、28、42、52、70、30、44、54、50および電圧探針へ送信したり、入力信号(例えば、フィードバック信号)をそれらから受信することができる。制御システム80は、加工物のプラズマ処理を監視して、制御することができる。はっきりと理解できるなるように、磁気フィルタアセンブリ68が1つ以上の電磁石を含む場合、制御システム80は、各電磁石にパワーを供給する電源を制御するようにプログラムされることができ、それによって、ソースプラズマから処理領域への荷電粒子、特に、電子の通過、あるいは、「フィルタリング」を制御する。図1は、磁気フィルタアセンブリ68と電気的に接続した状態の単一の電源70を示しているが、磁気フィルタアセンブリ68が1つ以上の電磁石を含む場合、装置12は、同じ数の電源を含むことができ、そのために、いくつかの実施形態において、磁気フィルタアセンブリの各電磁石が、それぞれ独立して制御可能な電源と電気的に接続した状態にできるということが理解される。
制御システム80は、プロセッサと、このプロセッサによってアクセス可能なコンピュータメモリ(このメモリは、命令とデータとを格納するのに適し、例えば、ランダムアクセスメモリなどの一次メモリとディスクドライブなどの二次メモリとを含むことができる)と、プロセッサとのデータ通信のためのデータ入力および出力能力とを含むコンピュータシステムによってもたらされることができる。
本発明の方法は、例示のプラズマ処理システム10を参照として示されることが可能である。プラズマ処理システム10の作用は、図1を参照とすると理解できる。処理される加工物(あるいは、基板)48は、チャック電極46によって設けられる支持表面に配置される。制御システム80は、真空システム72を稼動し、これは、最初、チャンバ14の真空完全性と清潔性とを確実とするために、プラズマチャンバ14の内部エリア16の圧力を基準圧力(一般に、10−7Torr〜10―4Torr)に下げる。制御システム80は、次に、ソースプラズマを形成するのに、そして、プラズマで加工物48を処理するのに適するレベルにチャンバ圧力を高める(適切な内部圧力は、例えば、約1mTorr〜約1000mTorrの範囲でよい)。チャンバ内部16における適切な圧力を確立するために、制御システム80は、ガス供給システム58および/または処理ガスソースシステム64を稼動し、ガス噴射装置56、60それぞれを介して、ソースガスおよび/または処理ガスをチャンバ内部16に規定された1つの流速(または複数の流速)で供給し、真空システム72は、必要に応じて、ゲートバルブ(図示せず)を使用して流れを絞ることができる。
制御システム80は、次に、RF電源28、42、52、70を稼動し、螺旋部材26と、プラズマ電圧制御電極36と、チャック電極46と、磁気フィルタアセンブリ68とにパワーを供給する。RF電源28、42、52、70は、選択された周波数(地電圧、あるいは、他の定電圧が印加される場合のゼロ周波数を含む)で、螺旋部材26と、電極36と、チャック電極46と、磁気フィルタアセンブリ68とに電圧を供給することができる。制御システム80は、例えば、各電源によって送られる周波数および/または電圧の振幅を調節するために、プラズマ処理操作の間、RF電源28、42、52、70を独立して制御することができる。
RF電源28は、ソースガスをソースプラズマへ変換するために、螺旋部材26を励起するように作用されることができる。ソース領域18のICPは、短くて、フラットな体積と比較的高い密度とを有する。ソースプラズマのプラズマ密度は、例えば、約1×1012cm−3〜約5×1012cm−3の範囲でよい。典型的な電子温度は、例えば、数電子ボルトであり、典型的な作用圧力は、例えば、10代のmTorrの範囲内である。ソースプラズマのプラズマ電位は、例えば、10代のボルト範囲内となる。オペレータ、あるいは、コントローラ80は、電位制御電極36に印加されるDC、あるいは、RFバイアス電圧を制御することによって、ソースプラズマの電位を制御することが可能である。複数の電極がプラズマと接触した状態であり、この複数の各電極が、プラズマと接触した状態の表面積と匹敵する量を有するとき、ソースプラズマは、最大の陽極の電位を呈する。電位制御電極36が接地される場合、ソースプラズマは、大地電位よりもわずかに高い電位を帯びることがある。定常状態において、ソースプラズマから離れる総電子電流は、システム壁40への総イオン電流によってバランスが保たれることができる。電位制御電極36は、大地電位をかなり超える電圧にバイアスがかけられるとき、電位制御電極36に引き付けられる電子電流が増大する。これが生じるとき、ソースプラズマからの総電子電流損失は、総イオン電流を超え、プラズマ電位は、電子電流およびイオン電流が、互いにほぼ等しい新しい定常状態が達成されるまで、増大することを余儀なくされる。このように、電位制御電極36へのDCバイアスは、ソースプラズマのプラズマ電位を制御することが可能である。接地表面の面積が大きい場合、その接地表面にイオン電流を増大することにより、イオンエネルギー損失を増大するだけでなく、接地表面からのイオンスパッタリングをも増大する。それゆえ、DCバイアスの代わりにRFバイアスが、ソースプラズマの電位を制御するのに使用されることができる。接地表面の面積が、付加的なスパッタリングを生じることなく、電極の表面積に匹敵する場合、RFバイアスにより、大地電位に対してプラスである電極に自然発生されたDCバイアスを生じることができるということは、RFプラズマの当業者には一般に周知である。
例示の電位制御電極36の構造は、図3および図4から理解できる。プラズマ電位制御電極36は、環状フレーム84内に固定される複数の細長い構造82から構成される。この細長い構造82は、本質的に、互いに平行であり、一定の間隔がおかれ、全体として86で示される、様々なサイズおよび形状の一連のスロット状開口を設ける。細長い構造82およびフレーム84は、導電性材料からそれぞれ構成され、例えば、アルミニウム、あるいは、他の適切な金属材料から構成されることができる。開口86は、ソース領域18から処理領域22への高度のプラズマフロー透明性をもたらすほどに十分に大きい。電極36の総表面積は、ソースプラズマ20の少なくとも一部分が処理の間、電極36と実質的に接触した状態であるように十分に大きい。ソースプラズマ20は、ソースプラズマ20と実質的に接触した状態である最も高い電位を有する表面の電位を帯びる。電極36は、それがソースプラズマ20と実質的に接触した状態である1つの表面または複数の表面をもたらすように構成される。ソースプラズマ20と接触した状態にある電位制御電極36の表面積は、ソースプラズマ20を囲む残りの表面(例えば、壁40を含む)の表面積に対して大きい。このように、ソースプラズマ20の電圧は、電極36の電圧を制御することによって制御されることが可能である。
ソースプラズマ20は、電極36の開口86を通り、磁気フィルタアセンブリ68のスロットまたは開口88を通り、処理ガス噴射装置60のスロットまたは開口90を通って、処理領域22へ拡散し、そこで処理プラズマ24を形成する。磁気フィルタアセンブリ68の構造は、図5〜図7から理解されることが可能である。
磁気フィルタアセンブリ68は、複数の棒状の永久磁石92から構成される例示的な実施形態であり、これらの永久磁石はそれぞれ、管状ハウジング94内に取り付けられる。各棒磁石92は、磁気フィルタアセンブリ68が装置12内に取り付けられるとき、各磁石92が、チャンバの側壁40の間に延在するように、関連した管状ハウジング94の全長を延在する。各ハウジング94は、適切な金属材料などの導電性材料から構成されることができ、あるいは、各ハウジング94は誘電材料から構成されることができ、別の方法として、各ハウジング94は、金属材料と誘電材料との両方から作られることができる。例示のハウジング94は、アルミニウムから構成される。アセンブリ68はさらに、中央開口98を有するフレーム96を含む。各ハウジング94は、フレーム96の対辺の1対の開口100内に固定される。1対の環状壁構造102、104は、そのそれぞれの対辺の開口98の回りに取り付けられる。この環状壁構造102、104は、フレーム96が装置12に取り付けられるとき、反応チャンバ14の壁40の一部を形成することができる。磁気フィルタアセンブリ68のハウジング94は、大地電位と電気的に接続した状態であるか、あるいは、浮動(例えば、RF)電位と電気的に接続した状態であるかのいずれかでよい。述べられているように、ハウジング94は、それらの間の複数の開口88を画定するように一定の間隔がおかれている。
処理ガス噴射装置60の構造の詳細は、図8および図9から理解できる。処理ガス噴射機構60の各チューブ62は、ほぼ矩形の横断面を有することができ、アルミニウムなどの適切な金属材料から構成されることができる。チューブ62は、アルミニウムなどの適切な金属材料から構成されることができる還状の管状フレーム106内に固定される。チューブ62は、フレーム106へ導入されるガスがチューブ62へ、かつ、チューブ62を通って流れるように、溶接または他の適切な方法によってフレーム106に固定されることができる。処理ガス供給システム64(図8に概略的に示される)は、フレーム106のガス入口107を介して装置60に結合されることができる。チューブ62は、一連のガス出口109を有し、それを通ってガスが装置へ流れる。述べられているように、チューブ62は、それらの間に一連の開口90を設けるように一定の間隔がおかれている。処理ガス噴射装置60は、任意に、大地電位と、あるいは、浮動電位へ電気的に接続した状態でよい。
図2に最もよく示されるように、電極36、フィルタアセンブリ68および処理ガス噴射装置60の開口86、88、90はそれぞれ、互いに整列され(例示の装置12と垂直に整列されている)、コンポーネント36、68、60の各一連の垂直に整列された開口86、88、90は、互いにほぼ等しい大きさであり、ソース領域18から処理領域22への荷電粒子の通過を容易にする。
電極およびソース領域のソースプラズマからの荷電粒子(例えば、陽イオン)は、開口86、88、90を通って処理領域22へ拡散し、そこに処理プラズマ24を形成する。磁気フィルタアセンブリ68は、ソース領域18と処理領域22との間に磁界を設け、それにより、高エネルギー電子をフィルタで取り除き、この電子が処理プラズマ24の方へ拡散することを防止するのに役立つ。衝突の平均自由行程が磁界スケーリング(すなわち、磁界領域のサイズ)よりも大きいエネルギー電子は、磁気フィルタアセンブリ68の開口90全体にわたる磁界によって反射され、それによって、処理プラズマ24に入ることが防止される。それゆえ、処理プラズマ24の電子は、ソースプラズマ20の電子と比べて、低い平均エネルギー(すなわち、低い電子温度)を有する。処理プラズマ24の典型的な電子温度は、磁気フィルタアセンブリ68によって間に設けられる磁界の大きさにより、1電子ボルト以下である。一般に、磁気フィルタアセンブリ68によって間に設けられる磁界が強ければ強いほど、処理プラズマ24の電子密度は低い。
ソースプラズマ20から処理プラズマ24へ移動するイオンは、イオン電荷の倍の、ソースプラズマ電位(VSP)と処理プラズマ電位(VPP)との間の差にほぼ等しいエネルギーで加速される(例示の装置12において下方へ)。イオンエネルギーは、以下の式によって表わされることが可能である:
式中、Eiはイオンエネルギーであり、qはイオンへの電荷であり、VSPはソースプラズマ20のプラズマ電位であり、VPPは処理プラズマ24のプラズマ電位である。処理プラズマ24の電位が大地電位で維持される場合、イオンエネルギーは、電位制御電極36に印加されるバイアス電圧によって決定される。この関係は、方程式2に示されるように数学の公理を用いて表わされることが可能である。
磁気フィルタアセンブリ68のハウジング94は、大地電位を有するとき、ソースプラズマ20から出るイオンは、イオン電荷の倍の、ソースプラズマ20の電位と処理プラズマ24の電位との間の差に匹敵するエネルギーで処理プラズマ24の方へ向って方向付けられる(方程式2によって示されるように)。従って、処理プラズマ24に入るイオンは、それらが処理プラズマシースに入る前に、加工物48の方へ向って方向付けられたエネルギーに達することができる。エッチングは、加工物48の方へ向って方向付けられたエネルギーを有するイオンで行われるときにいっそう効果的である。イオンの直接エネルギーが十分に高いとき、高いエッチング率が達成され、加工物48(例えば、半導体ウエハ)が接地される。
従って、磁気フィルタアセンブリ68によって間に置かれる磁界は、反応チャンバ14の内部エリア16内のプラズマ体積を2つの領域を占める2つのプラズマ20、24:一次電子が大いに効果的に閉じ込められるソース領域18の高密度のソースプラズマ20と、きわめて少量のイオン化電子を有する、あるいは、イオン化電子を有さない処理領域22の比較的定温の処理プラズマ24とに分離するように機能することが可能であるということが理解できる。
DCプラズマにおいて、そして、RFプラズマにおいて、外部電界によって直接加速される一次電子は、処理プラズマ24の生成を担い、処理プラズマ24の電子エネルギー分布関数(EEDF)の形成を担う。20電子ボルトと100電子ボルト(20〜100eV’s)との間のエネルギーを有する一次電子は、一般に、ソースプラズマ20を含有する反応チャンバ14のソース領域18の大きさのいずれよりもずっと長いイオン化衝突の平均自由行程を有する。ソース領域18のソースプラズマ20に一次電子を閉じ込めることにより、処理プラズマ24の密度を増大し、これは順番に、システム10の製造効率を増大し、さらに、処理プラズマ24の均一性と処理された加工物48の均一性とを向上する。
処理プラズマ24のEEDFは、磁気フィルタアセンブリ68によって設けられた磁界の強度を制御することによって制御されることが可能である。磁気フィルタアセンブリ68の磁界は、スペーシングおよび多数の磁石などを制御することによって、異なる強度の配列で個々の磁石を供給することによって制御されることが可能である。処理プラズマ24に生じる解離処理が、大いに処理プラズマの電子エネルギーに依存するため、磁界の強度を制御することは、処理ガスの解離を制御する。間に設けられた磁界は、高エネルギー電子を反射し、それによって、それらをソース領域18に閉じこめるように機能するので、処理プラズマは比較的低い電子温度を有する。それゆえ、磁気フィルタアセンブリ68によって間に設けられた磁界の磁界強度の調節は、処理プラズマ24のプラズマの化学的性質の制御へと導くことが可能である。
200G−cm〜300G−cmの磁束を有する磁界は、ほぼ4eV〜ほぼ5eVの電子温度を有する高度なイオン化ソースプラズマ20を、1eV未満の電子温度を有する比較的定温の処理プラズマ24から分離するのに十分強いということが実験に基づき分かった。ほぼ1eV〜ほぼ3eVの範囲の処理プラズマ24の電子エネルギーの制御は、100G−cm〜200G−cmの範囲の磁気フィルタアセンブリ68によって間に設けられる磁束を変えることによって達成されることが可能である。これらの例示の制限と広範囲の制限をカバーする可変磁界を供給する電磁石を含む磁気フィルタアセンブリの例は、以下に記述される。
磁気フィルタアセンブリの多数の異なる実施形態が、本発明の範囲内で、熟考される。例えば、磁気フィルタアセンブリは、図5〜図7に示されるように、永久磁石92の配列を含むことが可能である。永久磁石92の配列(図12および図14では矩形で概略的に表わされている)が、その間に設けられた磁界を生成するのに使用されるとき、磁石の極は、いくつかの異なる方法で配列されることが可能である。例えば、磁石92の配列は、北極のすべてが、同じ横方向に「面する」ように配列されることが可能である。図5〜図7の例示的な実施形態において、例えば、関連した管状ハウジング94の全長を延在する永久磁石92は、その北極が同じ方向に面するような構成でよい。このタイプの構成は、図14の概略図から理解されることが可能である。図14は、4つの磁石110、112、114、116と、これらの間のスペース118とを示している。これらの磁石は、各磁石の北極がチャンバの1つの側の方へ向って方向付けられ、各磁石の南極がチャンバの対向する側の方へ向って方向付けられるように配置されている。磁気フィルタアセンブリが、一連の平行な棒状の磁石から構成されるときに使用されることが可能な別の例示の構成が、図12に概略的に示されている。この例において、磁石110、112、114、116は、1つおきの磁石(例えば、110と114)の北極が1つの方向に面し、それらの間の各磁石(例えば、112と116)の北極が反対方向に面するように配置されている。多数の(あるいは、少数の)永久棒磁石が磁界アセンブリを構成するのに使用されるとき、これらのパターンは、簡単に繰り返される。極のこれらの構成は、単なる例示であることと、および、多数の他の可能な組合せが可能であるということとが理解される。
磁気フィルタアセンブリの磁界は、さらに、電磁石によってもたらされることが可能である。例えば、磁気フィルタアセンブリは、例えば図2に示される永久磁石の構成およびスペーシングに類似するように、互いにほぼ平行で、互いに一定の間隔がおかれ、反応チャンバ14の内部エリア16全体にわたってほぼ横方向に延在する導電部材の配列から構成されることができる。より詳細には、このタイプの磁気フィルタアセンブリの一実施形態において、1つ以上の導電部材は、例えば、図5の磁気フィルタアセンブリのそれぞれの管状ハウジング94(その中の永久磁石の代わりに)内に取り付けられ、それぞれの管状ハウジング94の全長を延在することが可能である。各導電部材は、電流ソース(図示せず)と電気的に接続した状態にあるのがよい。図10は、特定の磁気フィルタアセンブリに磁界をもたらすことが可能な導電部材の配列(これは、例えば剛性構造の配列でよく、それぞれが、適切な金属材料などの導電性材料から構成され、それぞれが、それぞれの制御可能な電流ソースと電気的に接続した状態であるのがよい)の例を示している。
特に、図10は、4つの導電部材120、122、124、126と、それらの間のスペース128とを示している。ソースプラズマから処理プラズマへ移動する荷電粒子は、このスペース128を通過する。各細長い導電部材は、円形として端面図で概略的に示されている。「点からなる」中心を有する円形(部材120、124)は、導電部材を表わし、そこでは、電流は見る人の方へ向って流れる(すなわち、ページ「の外」)。中心に「X」を有する円形(部材122、126)は、導電部材を表わし、そこでは、電流は見る人から離れて流れる(すなわち、ページ「の中」)。導電部材の構成および図10においてそこに流れる電流の分配は、一般に「シングルピケットフェンス(single picket fence)」と呼ばれる。シングルピケットフェンスは、チャンバ全体にわたり延在する電流グリッドを生成する。各部材120、122、124、126は、独立したDC電磁石を備えることができる。
図11は、導電部材の別の例示の構成を示している。この構成は、導電部材130、132、134、136の第2の層を含む。この構成において、各導電部材130、132、134、136は、例えば、永久磁石92が図5のハウジング94に取り付けられる方法に類似する方法で、管状ハウジング内に取り囲まれることができる。すなわち、導電部材は、関連したハウジング94(永久磁石92の代わりに)を延通することができ、制御可能な電流のソースと電気的に接続した状態である。導電部材130、132、134、136は、互いにほぼ平行であり、導電部材120、122、124、126の第1の層にほぼ平行であり、この第1の層から垂直に一定の間隔がおかれる。図11の構成は、一般に、「ダブルピケットフェンス」と呼ばれる。このダブルピケットフェンスは、2つの電流の層(第2の層をもたらす導電部材120、122、124、126と、第2の層をもたらす導電部材130、132、134、136)を使用し、ソース領域と処理領域との間にフィルタリング磁界を設ける。
多数の電流の流れのパターンが、このダブルピケットフェンス構造で達成されることが可能である。図11に示される例示において、電流が各導電部材において流れる方向は、上述のように点とXで表示されている。図11に示される電流パターンは、図12の永久磁石の配列によって生成されるものに類似する磁界を生成する。
図13は、図11に示されるものと同一の導電部材の構成を示している。図13に示される電流の流れは、実質的に、2つの電流「シース」を生成し、1つのシースにおける電流の流れ(導電部材120、122、124、126から構成される)は、1つの方向へ進み、もう1つのシースにおける電流(導電部材130、132、134、136から構成される)は、反対の方向に進む。導電部材および電流の流れの分配の構成は、一般に、「磁気壁」と呼ばれる。磁気壁において、磁界は1つの方向に進む。図13に示される導電部材およびそこに流れる電流は、図14に示される永久磁石の配列によって生成される磁界に類似する磁界を生成する。
図10〜図14の各例示の構成は、異なる磁界を生成する。磁界を生成するために電流を使用する(図10、図11および図13)1つの利点は、磁界の強度が変えられることが可能なことである。反応チャンバ14において効果的に電子をフィルタするために、十分な強さの磁界を生成するのに必要とされる電流の大きさは、かなり高くてよいとはいえ、例えば、数百アンペアでよい。図12および図14に示されるものなどの構成は、それらが、外部電源装置を必要としないが、永久磁石の固定配列によって生成される磁界が、変えられることができないので有利である。
図15〜図17は、高電流を必要とすることのない、可変磁界をもたらす3つの磁界アセンブリ138の例を示している。図15は、湾曲部146から延在する脚部144を有するU形状部材139を示す。このU形状部材139は、U字形タイプの電磁石を構成する。永久磁石148の配列は、それぞれが、U形状部材139の湾曲部146に接続され、脚部144に対して一定の間隔がおかれた状態でそこから外方に延在する。U形状部材139は、電磁石を生成するために励起されることが可能である。U形状部材は、例えば、湾曲部146と脚部144とを画定するように形成される磁束導電性材料(鉄、あるいは、他の適切な金属材料などの)から構成される一体構造の電磁石でよい。1つ以上のコイル磁石150は、U形状部材139の湾曲部146の周りに巻かれる。各コイル磁石150は、それぞれの制御可能な電流ソース(図示せず)と電気的に接続した状態でよい。各コイル磁石150が励起されると、そのコイル磁石150によって生成される磁界エネルギーは、U形状部材139を介して伝導される。U形状部材139は、U字形タイプの磁石を構成することができる。永久磁石148は、U字形磁石139の特性を向上する。より詳細には、永久磁石148は、コイル磁石150がアセンブリ138によって生成される総電界の強さを増大するために励起されるとき、U形状部材139によって磁界を生成させるのに多少のDC磁界を供給する。永久磁石148は、さらに、磁界アセンブリ138の中央領域151にU形状部材139/コイル磁石150によって生成される磁束を集中するのに役立つ。
図16に示される磁気フィルタアセンブリ140は、アセンブリ140の湾曲部152が、アセンブリ138の湾曲部146よりも長く、磁気フィルタアセンブリ140が、湾曲部152に接続される3つの永久磁石154を含むことを除いて、図15の磁気フィルタアセンブリ138に構造が類似している。複数の導電コイル153は、アセンブリ140の湾曲部146の周りに巻かれている。
各磁気フィルタアセンブリ138、140は、ハウジング内に収容されることができる。図15は、磁気フィルタアセンブリ138の周りのハウジング153(破線で)の例を示している。このハウジング153は、アルミニウムなどの金属材料、あるいは、他の適切な材料から構成されることができる。ハウジング153は、管状本体部155と、この本体部155から外方に延在し、磁気フィルタアセンブリ138の脚部144および永久磁石148を囲む複数の管状アーム157とを含む。類似のハウジング(図示せず)は、磁気フィルタアセンブリ140に設けられることができる。
磁気フィルタアセンブリ138、140は、磁気フィルタアセンブリ142を生成するために、図17に示されるように組合せるように構成される。磁気フィルタアセンブリ138、140は、アセンブリ142を形成するために、互いに間に噛み合わされるとき、アセンブリ142によって生成される磁界は、コンポーネントアセンブリ138、140が作用される方法によって決まる。例えば、アセンブリ142を作り上げるアセンブリ138、140は、アセンブリ138、140によって生成される磁界が互いに一致し、それゆえ、互いに強化するように作用されることが可能である。例えば、図17の磁界アセンブリ140は、アセンブリ140によって生成される磁界がページの頂部に北極を形成するように(励起コイル153によって)励起されることができることが理解できる。アセンブリ138は、コイル150の電流の方向により、北極がページの頂部に、あるいは、ページの底部にある磁界を(コイル150を励起することによって)設けることが可能である。したがって、磁気フィルタアセンブリ142のコンポーネントアセンブリ138、140は、2つのアセンブリ138、140の北極がアセンブリ142と同じ側にあるように、あるいは、2つのコンポーネントアセンブリ138、140の北極がアセンブリ142の両側にあるように配置されて、作用されることが可能であるということが明らかである。
アセンブリ142は、2つのコンポーネントアセンブリ138、140の北極がアセンブリ142と同じ側にあるように(すなわち、2つのコンポーネントアセンブリ138、140の北極または磁界が、同じ方向にあり、あるいは、互いに一致するように)作用されるとき、この構成は、図14に示されるものに類似するプラズマの層の間に磁界を生じる。別の方法として、アセンブリ142は、2つのコンポーネントアセンブリ138、140の北極がアセンブリ142の両側にある(あるいは、互いに対向する方向にある、すなわち、磁界が互いに対向する方向にある)ように作用されるとき、この構成は、図12に示されるものに類似するプラズマの層の間に磁界を生じる。
アセンブリ142の2つのコンポーネントの磁気アセンブリ138、140のそれぞれの磁界が同じ方向である場合、磁石壁タイプのフィルタが形成される。アセンブリ142の2つのコンポーネントの磁気アセンブリ138、140のそれぞれの磁界が、同じ方向にある(すなわち、アセンブリ138、140のそれぞれの磁界が互いに対向する方向にあるように)場合、磁気カスプフィルタが生成される。
処理プラズマ24のEEDF(電子エネルギー分布関数(electron energy distribution function))が制御される機構は、以下に考慮される。この例において、磁気カスプフィルタは、EEDFを制御するのに使用される。衝突のない高速電子のリーク幅は、それらのジャイロ半径のほぼ2倍2rpであることが分かり、式中、ジャイロ半径rpは、以下の関係で示される:
式中、一次電子エネルギーはeVpであり、eは基本電荷であり、mは電子質量であり、cは真空中の光の速度であり、Bは磁界の強さである。一次電子のリーク幅は、磁界の強さBに反比例する
冷却されたプラズマのリーク速度は、イオンの漏洩によって特色付けられる。電子の漏洩は、両極性電界によって大いに影響が及ぼされる。一般に、磁気フィルタを通って漏洩する電子は、比較的緩慢な電子である。これらの比較的緩慢な電子は、さらに、グロー放電後におけるように、中性ガスとの衝突によって冷却される。
本発明の装置および方法は、多数の利点を有している。例えば、電極30、磁気フィルタアセンブリ68、処理ガス噴射装置60およびそれらと関連する支持構造が、装置12から取り外される場合、装置12の残りの部分(すなわち、反応チャンバ14、チャック電極46および変成器結合プラズマソース螺旋部材26)は、従来の変成器結合プラズマ(TCP)反応器を備えるということが図2の例示から理解されることが可能である。従来のTCP反応器は、利点を有するが、エッチング、あるいは、蒸着に使用されるときに不利な点を有する。TCP反応器は、例えば、一般に、好ましい良好なエッチング速度をもたらす高密度のプラズマを発生するために作用されることが可能であるが、従来のTCP反応器によって発生されるプラズマは、高い電子温度(一般に、3eV〜4eVの範囲内)を有し、プラズマの電子エネルギー分布関数(EEDF)を制御する能力をもたらさない。その結果、これらの反応器は、高い解離割合を生成する。一般に、プラズマの解離は、プラズマの密度、プラズマ内で移動するガス原子または分子の滞留時間およびプラズマのEEDFに関係する。
例および例示的な実施形態は、ここでは、TCP反応器を使用しているが、これは、本発明を説明するのを容易にするために行われ、本発明の範囲を限定するように意図されるものではないということが明らかである。他の方法および装置が、ソースプラズマを発生するのに使用されることができる。例えば、ソースプラズマ20は、本発明のいくつかの実施形態において、誘導結合によって、あるいは、別の方法として、静電結合によって発生されることができる。
高い解離割合は、多くのプラズマ処理操作では不利である。例えば、高い解離割合は、処理プラズマが二酸化ケイ素(これは、一般に、大量生産された半導体製造に生ずる)をエッチングするのに使用される場合に不利である。過フッ化炭化水素の化学的性質(fluorocarbon chemistry)は、二酸化ケイ素ウエハにおける酸化特性をエッチングするのに使用されることが多い。これらの酸化特性は、例えば、半導体コンタクト、あるいは、トレンチを含むことができる。従来のTCP反応器を利用して二酸化ケイ素ウエハをエッチングするのに使用されるガスの典型的な組み合わせは、フロン系ガス(例えば、C4F8など)、酸素含有ガス(例えば、CO、CO2、O2など)およびキャリアガス(例えば、アルゴンなど)を含むことができる。アルゴンは、過フッ化炭化水素含有ガスおよび酸素含有ガスを希釈するために機能し、さらに、化学エッチングのエネルギーを増大するために、基板の表面に衝撃を与えるのに使用されることができる。
半導体製造の間に、例えば、加工物の表面は、二酸化ケイ素、フォトレジスト、シリコン、窒化ケイ素などのエリアを有することが多い。特に、シリコン、あるいは、窒化ケイ素の層は、酸化層によるエッチング時、露出されることがある;不均一処理により、過剰エッチングステップが、基板全体にわたり酸化層によるエッチングを行うことを必要とされることがある。半導体処理操作の間、他の材料に比べてより速い速度で、二酸化ケイ素をエッチングすることが好ましいことが多い。この要求は、二酸化ケイ素のための高度なエッチング選択性のための要求と呼ぶ。しかしながら、典型的には、一般に誘導結合プラズマソースおよび、特に、TCP反応器は、大いに解離可能であり、それにより、比較的多量のフッ素ラジカルの生成へと導く。多すぎるフッ素ラジカルが処理プラズマに存在するとき、そのフッ素ラジカルは、それらが二酸化ケイ素をエッチングするよりも早くシリコンをエッチングする。したがって、プラズマにおける高度の解離は、高度なフッ素ラジカルの組成へと導き、それにより、エッチング選択性の損失および生成される半導体装置の品質に結果として生ずる劣化へと導く
いくつかの試みが、従来のTCP反応器で生ずる解離の量を減少するために行われてきた。例えば、スカベンジャー材料は、プラズマソースに配置されることもある。スカベンジャー材料は、プレートの形をとって供給されることもあるシリコンである。このシリコンプレートは、処理中に腐食され、それによって、シリコンをプラズマ化学的性質に近づける。シリコンは、フッ素ラジカルのいくつかと反応し、それによって、多少のフッ素ラジカルをプラズマから取り除く。さらに、特定の処理を行うのに適切な1つの材料または複数の材料でチャンバの表面を「クラッディングする」ことが好ましい。例えば、酸化エッチング作用の間、このような材料は、シリコン、石英などを含むことができる。これらの材料は、さらに、他の理由のために使用されることができる。
いくつかの試みが、従来のTCP反応器で生ずる解離の量を減少するために行われてきた。例えば、スカベンジャー材料は、プラズマソースに配置されることもある。スカベンジャー材料は、プレートの形をとって供給されることもあるシリコンである。このシリコンプレートは、処理中に腐食され、それによって、シリコンをプラズマ化学的性質に近づける。シリコンは、フッ素ラジカルのいくつかと反応し、それによって、多少のフッ素ラジカルをプラズマから取り除く。さらに、特定の処理を行うのに適切な1つの材料または複数の材料でチャンバの表面を「クラッディングする」ことが好ましい。例えば、酸化エッチング作用の間、このような材料は、シリコン、石英などを含むことができる。これらの材料は、さらに、他の理由のために使用されることができる。
本発明の装置および方法は、不必要な解離を減少し、その結果として、処理プラズマ24のフッ素ラジカルの量を減少する。これは、エッチング選択性を向上する。したがって、プラズマ電位制御電極36、磁気フィルタアセンブリ68および処理ガス噴射装置60は、処理プラズマ24の特性を向上するように作用されることが可能である。磁界アセンブリ68の磁界の強さを制御する能力により、例えば、処理プラズマ24に拡散する電子のエネルギーを制御する能力をオペレータにもたらす。これにより、処理プラズマ24のEEDFを制御する可能性をもたらす。電位制御電極36は、ソースプラズマ20の電位を制御する能力をもたらす。電位制御電極36のDC、あるいは、RF電圧を変えることによって、ソースプラズマ20から処理プラズマ24へ移動するイオンのイオンエネルギーは、制御されることが可能である。EEDFおよび処理プラズマ24のイオンエネルギーを制御することによって、オペレータは、生ずる解離の量を減少すると同時に、処理プラズマ24の密度を比較高く保つことが可能である。
より詳細には、電極36、フィルタアセンブリ68および処理ガス噴射装置60は、本質的に、反応チャンバ14の内部エリア16を2つの領域18、22へ分割するということが、例えば、図2から理解されることが可能である。装置12を利用して加工物(二酸化ケイ素ウエハなど)を処理するために、アルゴン、あるいは、類似のソースガスは、比較的高密度のアルゴンプラズマを生成する(例えば、励起された螺旋部材26を使用して)ために、ソース領域18に噴射されることが可能である。処理ガスは、処理ガス噴射装置60を通ってチャンバ14の処理領域22へ導入されることができる。処理ガスは、過フッ化炭化水素種、あるいは、多少のアルゴンおよび酸素含有ガスを含むことができる。換言すれば、処理ガス噴射装置60は、加工物48に衝撃を与えるプラズマの化学エッチングを生成するため、最終的に解離されるガスを導入する。磁気フィルタアセンブリ68により、比較的低いエネルギー電子が処理領域に入ることを可能にするが、比較的高いエネルギー電子が処理領域に入ることをブロックし、それによって、ソース領域18にそれらを閉じ込める。処理領域22の処理ガス、あるいは、処理ガス種は、処理領域22でこれらの比較的低いエネルギー電子と相互に作用するが、これらの電子は、高度に解離されない。したがって、C4F8が、処理領域22へ導入される場合、処理領域22に入る電子のエネルギーは、処理プラズマ24において生成されるフッ素ラジカルの量を最小とするために、C4F8の解離化学的性質を制御するように制御されることが可能である。
プラズマ電位制御電極36は、ソース領域18から処理領域22へのプラズマの拡散を制御するために、ソースプラズマ20の電位を制御し、磁気フィルタアセンブリ68と協働する。チャンバ14の中央に磁気フィルタがあることにより、ソースプラズマが処理領域22へ拡散することを抑制する。一般に、間に設けられた磁界は、ソースプラズマから処理プラズマへの比較的高いエネルギー電子の通過を抑制するが、ソースプラズマから処理プラズマへの比較的低いエネルギー電子の通過を可能にすることによって、ソースプラズマから処理プラズマへの電子の通過を制御する。一般に、電位制御電極36は、ソースプラズマの電位を制御することによって処理プラズマへのイオンの通過を制御するのを容易にする。ソースプラズマのプラズマ密度は、一般に、例えば、処理プラズマのプラズマ密度よりもずっと高い。電位制御電極の存在により、ソースプラズマに本質的に均一な電位をもたらし、オペレータにソースプラズマの電位を調節する能力を与える。
イオンは、電子よりもずっと大きくて重いので、磁気フィルタアセンブリによって間に設けられる磁界により影響を及ぼされない。その結果、ソースプラズマの電位は、ソースプラズマから処理プラズマへのイオンの動きを制御するために制御されない場合、処理プラズマは、好ましくない特性を得ることがあり、あるいは、反応チャンバ14のプラズマ20、24は、不安定になったり、または、流れが乱れることがある。例えば、ソースプラズマ20の電位が制御されない場合、最初は、ソースプラズマから比較的多量の陽イオンが、処理プラズマへ拡散するのに対して、多少の電子は、磁界によって処理領域へ通過することを抑制される。その結果、処理プラズマは、陽のスペース電荷を得ることが多い。これは、結局、付加的な陽イオンが、処理プラズマ24へ移動することを防止することに役立ち、それにより、処理プラズマ24のプラズマ密度を制限する。処理プラズマ24の密度は、ソースプラズマ20から処理プラズマ24への電子の移動を可能とするため、磁界を調節することによって増大されることが可能である。しかしながら、多すぎる電子が処理プラズマ24に通過される場合、処理プラズマ24は、負のスペース電荷を発生することがある。処理プラズマ24の負のスペース電位は、ソースプラズマ20から処理プラズマ24へ陽イオンを引き付けることが多い。ソースプラズマ20の電位が制御されない場合、これらのプロセス(すなわち、陽イオンの移動および負電子の移動)は、制御できない方法で生じることがあり、これにより、チャンバ14のプラズマ20、24に結果として乱流、あるいは、不安定を生じることがある。電位制御電極36は、オペレータ(あるいは、コントローラ)が、ソースプラズマ20の電位を調節することを可能とし、そのために、プラズマ中の荷電粒子が、安定したかつ制御された方法で、ソース領域から処理領域へ流れる。ソースプラズマの電位および磁界の強さを制御することによって、その状態は、高いエネルギーを有するイオンおよび比較的冷たい電子の比較的大きな電流が、ソースプラズマ20から処理プラズマ24へ流れるように調節されることが可能である。一般に、制御可能な方向付けられたエネルギーを有する処理領域へ達するイオンは、ウエハへのプラズマの流れを高めることができ、それゆえ、処理効率を高める。
システム10および装置12(図3〜図17に示されるそれらの様々なコンポーネントを含む)は、本発明の原理を示すように意図される例示の実施形態であるが、それらは、本発明の範囲を限定するように意図されるものではないということが理解できる。別の方法としての構成および付加的な実施形態は、熟考され、本発明の範囲内にある。例えば、例示の装置10は、ソースプラズマの電位を制御するために、磁界を置くために、処理ガスを噴射するために(すなわち、プラズマ電位制御電極36、磁気フィルタアセンブリ68、処理ガス噴射装置60それぞれに)、3つの独立したコンポーネントを含むが、他の構成が熟考される。例えば、磁気フィルタアセンブリのシングル、あるいは、ダブルピケットフェンスの実施形態は、ピケットフェンス電極の下方レベルが、処理チャンバへの処理ガスの噴射のためのガス噴射マニホルドを形成するように構成されるように構成されることが可能である(それによって、処理ガス噴射装置60の機能を行う)。追加として、あるいは、別の方法として、ダブル、あるいは、シングルピケットフェンスは、空間的にプラズマを調節するように形成されることが可能である。追加として、別の方法として、ピケットフェンスは、処理プラズマをより均一にするために、プラズマ処理操作の間回転されることが可能である。電子エネルギーを吸収し、エネルギーを放射するために、低い励起電位およびイオン化電位を有する分子種を処理プラズマへ導入することによって、処理プラズマの電子温度を制御することが、さらに熟考される。
プラズマ電位制御電極36、磁気フィルタアセンブリ68および処理ガス噴射装置60は、ユニット、あるいは、モジュールとして、互いにアセンブルされるように構成されることが可能であり、それにより、ポータブルモジュールとしてICP(あるいは、TCP)反応器の反応チャンバに取り外し可能に取り付けられることが可能であるということが、さらに熟考される。このモジュラー構成により、従来のICP反応器が、反応チャンバ内にモジュールを設置することによって、本発明の方法を実施するのに使用するために変換されることを可能にする。例えば、このようなモジュールは、チャンバの内部をソース領域と処理領域とに分割するために、TCPに、適所に配置されることが可能である。モジュールは、ガス処理材料を処理領域へ噴射するために処理ガス噴射装置と、ソースプラズマの電位を制御するために電位制御電極と、処理領域に処理プラズマを発生するべく、ソースプラズマからガス処理材料への荷電粒子の流れを制御するためにソース領域と処理領域とのほぼ間に磁界を設けるように動作可能な磁気フィルタアセンブリとを含むことができる。
本発明の多数の特徴および利点は、詳細な明細書から明らかであり、したがって、本発明の真実の精神と範囲とに従う記述した方法のそのようなすべての特徴および利点を保護することは、添付の特許請求の範囲によって意図される。さらに、非常に多くの変形および変更が、当業者には容易に生じるので、例示され、記述される正確な構造および作用に本発明を限定することは好ましくない。そのうえ、本発明の方法および装置、本質的に複雑である半導体技術に使用される類似の関連した装置および方法は、作成された出願のために最善のデザインに達するために、作用パラメータの適切な値を実験に基づいて決定することによって、あるいは、コンピュータシミュレーションを行うことによって、最もよく実施されることが多い。それゆえ、すべての適切な変形および同等物は、本発明の精神と範囲内にあると考慮されるべきである。
Claims (48)
- 加工物を処理するプラズマ処理装置であって、
ガスソース材料を前記装置のソース領域へ噴射するように構成され、配置されたソースガス噴射装置と、
ソースプラズマを発生するために、前記ソース領域にプラズマ発生と関係して取り付けられ、エネルギーを前記ソース領域のガスソース材料へ送るように構成され、配置されたプラズマ発生装置と、
ガス処理材料を前記装置の処理領域へ噴射するように構成され、配置された処理ガス噴射装置と、
前記処理領域に処理プラズマを発生するべく、前記ソースプラズマから前記ガス処理材料への荷電粒子の流れを制御するために、前記ソース領域と前記処理領域とのほぼ間に磁界を設けるように構成され、配置された磁気フィルタアセンブリと、
前記ソースプラズマの電位を制御するように構成され、配置された前記ソースプラズマと接触した状態のソース電極と、
前記荷電粒子が加工物に衝突するように前記加工物を支持するように構成され、配置された支持構造とを具備している加工物を処理するプラズマ処理装置。 - 前記支持構造は、前記加工物を支持し、かつ、前記荷電粒子が前記加工物に衝突するように前記処理プラズマからの荷電粒子を前記加工物の方へ引き付けるための電位を生成するように構成され、配置された電極を有している請求項1に記載のプラズマ処理装置。
- 前記プラズマ発生装置は、誘電コイルを含む請求項1に記載のプラズマ処理装置。
- 前記誘電コイルは、前記装置のソース領域にプラズマ発生と関係して取り付けられたTCPコイルである請求項3に記載のプラズマ処理装置。
- 前記プラズマ発生装置は、さらに、前記コイルと電気的に接続した電源装置を含む請求項4に記載のプラズマ処理装置。
- 前記ソースガス噴射装置は、前記プラズマTCPコイルの周囲に取り付けられたほぼ環状のガス噴射器である請求項3に記載のプラズマ処理装置。
- 前記処理ガス噴射装置は、前記装置の前記磁気フィルタアセンブリと前記処理領域との間に配置されている請求項6に記載のプラズマ処理装置。
- 前記処理ガス噴射装置は複数のチューブを含み、各チューブは1つ以上のガス出口開口を含み、前記チューブは前記ガス処理材料のソースに結合されている請求項1に記載のプラズマ処理装置。
- 前記磁気フィルタアセンブリは、永久磁石の配列を含む請求項1に記載のプラズマ処理装置。
- 各永久磁石は、金属材料と誘電材料のうちの少なくとも1つから作られたハウジング内に収納されている請求項9に記載のプラズマ処理装置。
- 前記金属材料は、アルミニウムである請求項10に記載のプラズマ処理装置。
- 前記磁気フィルタアセンブリは、1つ以上の電磁石を含む請求項1に記載のプラズマ処理装置。
- 前記磁気フィルタアセンブリは、湾曲部と、前記湾曲部から延在する1対の脚部とを有するU形状電磁石から構成され、前記磁気フィルタアセンブリが、さらに、前記湾曲部に接続されて、前記脚部に一定の間隔を置いた関係で外方に延在する永久磁石の配列を含む、請求項12に記載のプラズマ処理装置。
- 前記U形状電磁石は、磁束導電性材料から構成されかつ前記湾曲部と脚部とを画定するU形状ヨークを有し、さらに、前記各1つ以上のコイル磁石が励起される場合、磁気エネルギーが生成され前記ヨークを通って伝導されるように、前記電磁石の湾曲部の周りに巻かれる1つ以上のコイル磁石を有している請求項13に記載のプラズマ処理装置。
- さらに、1つ以上の電流ソースを備え、前記各1つ以上のコイル磁石が、電流ソースと電気的に接続した請求項14に記載のプラズマ処理装置。
- ソース領域と処理領域とを画定する内部を有する反応チャンバと、
ガスソース材料を前記反応チャンバの前記ソース領域へ噴射するように構成され、配置されたソースガス噴射装置と、
前記チャンバへ取り付けられ、ソースプラズマを発生するためにエネルギーを前記ソース領域のガスソース材料へ送るように構成され、配置されたプラズマ発生装置と、
ガス処理材料を前記反応チャンバの前記処理領域へ噴射するように構成され、配置された処理ガス噴射装置と、
前記ソースプラズマの電位を制御するように構成され配置された前記ソースプラズマと接触した状態の電圧ソースと、
前記処理領域に処理プラズマを発生するべく、前記ソースプラズマから前記ガス処理材料への荷電粒子の流れを制御するために、前記ソース領域と前記処理領域とのほぼ間に磁界を設けるように構成され、配置された磁気フィルタアセンブリと、
前記荷電粒子が前記加工物に衝突するように、加工物を支持するように構成され、配置された支持構造とを具備している加工物を処理するプラズマ処理装置。 - 前記支持構造は、前記加工物を支持し、かつ、前記荷電粒子が前記加工物に衝突するように前記処理プラズマからの荷電粒子を前記加工物の方へ向って引き付けるために電位を生成するように構成され、配置された電極を有している請求項16に記載のプラズマ処理装置。
- 前記プラズマ発生装置は、1つ以上のRF電源と電気的に接続し、前記ガスソース材料に誘導結合された螺旋RFアンテナを含む請求項16に記載のプラズマ処理装置。
- 前記RFアンテナは、ソースプラズマを発生するべく、前記ソース領域のガスソース材料へのエネルギーの伝達を容易にするために誘電体ウインドウに取り付けられている請求項18に記載のプラズマ処理装置。
- 前記反応チャンバは、前記ソース領域と前記処理領域とを少なくとも部分的に囲む1つ以上の接地側壁部を含む請求項16に記載のプラズマ処理装置。
- 前記反応チャンバは、金属材料から作られている請求項20に記載のプラズマ処理装置。
- 前記金属材料は、アルミニウムである請求項21に記載のプラズマ処理装置。
- 前記電圧ソースは、前記ソース領域と前記磁気フィルタアセンブリとの間に位置決めされ、前記電圧ソースは、前記ソース領域のソースプラズマから前記処理領域への荷電粒子の通過を可能とするように構成され、配置された複数の開口を含む請求項16に記載のプラズマ処理装置。
- 前記磁気フィルタアセンブリは、永久磁石の配列を含み、前記磁石の配列は、前記磁気フィルタアセンブリに複数の開口を画定するように互いに一定の間隔が置かれ、前記磁気フィルタアセンブリの開口は、前記ソース領域のソースプラズマから前記処理領域への荷電粒子の通過を可能とするために、前記電圧ソースの前記開口と合わせている請求項23に記載のプラズマ処理装置。
- 前記処理ガス噴射装置は、複数のガス噴射チューブを含み、各ガス噴射チューブが、1つ以上のガス出口開口を含み、各チューブが、前記ガスソース材料のソースに結合され、前記チューブが、それらの間に複数の開口を画定するために前記反応チャンバ内に配置され、前記処理ガス噴射装置の前記開口が、前記ソース領域のソースプラズマから前記処理領域への荷電粒子の通過を可能とするために、前記磁気フィルタアセンブリの開口および前記電圧ソースの開口とほぼ合わされている請求項23に記載のプラズマ処理装置。
- さらに、前記複数のガス噴射チューブと前記処理領域との間の前記反応チャンバ内に取り付けられている、シリコン材料から構成されたカバー構造を有している請求項25に記載のプラズマ処理装置。
- 前記電極は、1つ以上のRF電源と電気的に接続している請求項17に記載のプラズマ処理装置。
- 前記電極は、前記処理領域の方へ近づいたり、前記処理領域から離れる動きのために、前記反応チャンバ内に可動に取り付けられている請求項17に記載のプラズマ処理装置。
- 前記反応チャンバは、前記反応チャンバからガス材料を取り除くための真空ポンプポートを含む請求項16に記載のプラズマ処理装置。
- ソースプラズマを発生することと、
処理ガスを供給することと、
前記処理ガスから処理プラズマを発生するために、かつ、前記処理プラズマの特性を制御するために、前記ソースプラズマから前記処理ガスへの荷電粒子の流れを制御することと、
前記処理プラズマからの荷電粒子に前記加工物を衝突させることとを備えている加工物を処理する方法。 - 前記荷電粒子の流れを制御することは、前記ソースプラズマと前記処理ガスとの間に磁界を設けることを含む請求項30に記載の加工物を処理する方法。
- 前記磁界は、一定の磁界強度さである請求項31に記載の加工物を処理する方法。
- 前記磁界を設けることは、1つ以上の永久磁石を利用して達成される請求項31に記載の加工物を処理する方法。
- 前記磁界の磁界強度は、可変である請求項31に記載の加工物を処理する方法。
- 前記磁界を設けることは、ダブルピケットフェンスシールドを利用して達成される請求項34に記載の加工物を処理する方法。
- 前記ダブルピケットフェンスシールドは、DC電位を有する請求項35に記載の加工物を処理する方法。
- 前記磁界を設けることは、シングルピケットフェンスシールドを利用して達成される請求項34に記載の加工物を処理する方法。
- 前記シングルピケットフェンスシールドは、DC電位を有する請求項37に記載の加工物を処理する方法。
- 前記ソースプラズマは、比較的高い電子温度を有し、前記荷電粒子の流れを制御することは、前記比較的高い電子温度を有する電子が前記処理ガスへ流れるのを抑制するために、かつ、比較的低い電子温度を有する電子が前記処理ガスへ流れることを可能とするために、前記磁界を利用することを含む請求項31に記載の加工物を処理する方法。
- 前記制御することは、さらに、前記処理プラズマのEEDFを制御するために、前記磁界を制御することを含む請求項31に記載の加工物を処理する方法。
- 前記制御することは、さらに、前記ソースプラズマの電位を制御することを含む請求項31に記載の加工物を処理する方法。
- 前記制御することは、さらに、前記ソースプラズマの電位を制御することによって、前記ソースプラズマのEEDFを制御することを含む、請求項41に記載の加工物を処理する方法。
- 前記制御することは、さらに、前記処理ガスに入る陽イオンのエネルギーを制御するために、前記ソースプラズマの電位を制御することを含む、請求項41に記載の加工物を処理する方法。
- 前記ソースプラズマの前記電位を制御することは、ソース電極を供給することによって達成され、前記ソース電極が、制御可能な電圧ソースに電気的に接続し、前記ソースプラズマとほぼ接触した少なくとも1つの表面を有する請求項41に記載の加工物を処理する方法。
- 前記ソースプラズマを発生することは、ICPコイルを利用して、高密度のソースプラズマを発生することを有している請求項41に記載の加工物を処理する方法。
- 内部を有する反応チャンバと、
前記内部をソース領域と処理領域とに分割するように構成され、配置されたモジュールと、
前記チャンバに取り付けられており、ソースプラズマを前記ソース領域に発生するように構成され、配置されたプラズマ発生装置と、
前記処理領域に加工物を支持するように構成され、配置されたチャック電極とを備え、
前記モジュールが、ガス処理材料を前記反応チャンバの前記処理領域へ噴射するように構成され、配置された処理ガス噴射装置と、前記ソースプラズマの電位を制御するように構成され、配置された電位制御電極と、前記処理領域に処理プラズマを発生するべく、前記ソースプラズマから前記ガス処理材料への荷電粒子の流れを制御するために、前記ソース領域と前記処理領域とのほぼ間に磁界を設けるように構成され、配置された磁気フィルタアセンブリとを備えている加工物を処理するプラズマ処理装置。 - 前記チャック電極は、さらに、前記荷電粒子が前記加工物に衝突するように、前記処理プラズマからの荷電粒子を前記加工物の方へ引き付けるために電位を発生するように構成され、配置されている請求項46に記載のプラズマ処理装置。
- 反応チャンバを有するプラズマ処理装置および前記反応チャンバ内に加工物を支持する構造において、
前記反応チャンバ内部をソース領域と処理領域とに分割するように構成され、配置された前記反応チャンバに取り外し可能に取り付けられたユニットと、
前記チャンバに取り付けられており、前記ソース領域にソースプラズマを発生するように構成され、配置されたプラズマ発生装置と、
を備え、
前記ユニットが、ガス処理材料を前記反応チャンバの前記処理領域へ噴射するように構成され、配置された処理ガス噴射装置と、前記ソースプラズマの電位を制御するように構成され、配置された電位制御電極と、前記処理領域に処理プラズマを発生するべく、前記ソースプラズマから前記ガス処理材料への荷電粒子の流れを制御するために、前記ソース領域と前記処理領域とのほぼ間に磁界を設けるように構成され、配置された磁気フィルタアセンブリとを備えている、反応チャンバを有するプラズマ処理装置および前記反応チャンバ内に加工物を支持する構造。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US34126001P | 2001-12-20 | 2001-12-20 | |
PCT/US2002/037589 WO2003054912A1 (en) | 2001-12-20 | 2002-11-22 | Method and apparatus comprising a magnetic filter for plasma processing a workpiece |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2005514762A true JP2005514762A (ja) | 2005-05-19 |
Family
ID=23336861
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003555541A Pending JP2005514762A (ja) | 2001-12-20 | 2002-11-22 | 加工物をプラズマ処理するための磁気フィルタを備える方法および装置 |
Country Status (4)
Country | Link |
---|---|
US (1) | US20040219737A1 (ja) |
JP (1) | JP2005514762A (ja) |
AU (1) | AU2002366943A1 (ja) |
WO (1) | WO2003054912A1 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010212234A (ja) * | 2009-02-13 | 2010-09-24 | Y S Denshi Kogyo Kk | プラズマ発生装置 |
KR101592613B1 (ko) * | 2008-03-21 | 2016-02-05 | 도쿄엘렉트론가부시키가이샤 | 단일 에너지의 중성 빔 활성화된 화학적 처리 시스템 및 사용 방법 |
JP2018088465A (ja) * | 2016-11-28 | 2018-06-07 | 東京エレクトロン株式会社 | 基板処理装置及び遮熱板 |
Families Citing this family (176)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7511246B2 (en) | 2002-12-12 | 2009-03-31 | Perkinelmer Las Inc. | Induction device for generating a plasma |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060000802A1 (en) * | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
EP1793418B1 (en) * | 2004-07-02 | 2013-06-12 | Ulvac, Inc. | Etching method and system |
US20090011150A1 (en) * | 2004-08-04 | 2009-01-08 | Hyeong-Tag Jeon | Remote Plasma Atomic Layer Deposition Apparatus and Method Using Dc Bias |
US7250373B2 (en) * | 2004-08-27 | 2007-07-31 | Applied Materials, Inc. | Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate |
AU2006223254B2 (en) * | 2005-03-11 | 2012-04-26 | Perkinelmer U.S. Llc | Plasmas and methods of using them |
US8622735B2 (en) | 2005-06-17 | 2014-01-07 | Perkinelmer Health Sciences, Inc. | Boost devices and methods of using them |
US7742167B2 (en) | 2005-06-17 | 2010-06-22 | Perkinelmer Health Sciences, Inc. | Optical emission device with boost device |
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US8223470B2 (en) * | 2006-10-10 | 2012-07-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method to improve uniformity and reduce local effect of process chamber |
US7909961B2 (en) | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7943005B2 (en) | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7892928B2 (en) * | 2007-03-23 | 2011-02-22 | International Business Machines Corporation | Method of forming asymmetric spacers and methods of fabricating semiconductor device using asymmetric spacers |
US9188086B2 (en) | 2008-01-07 | 2015-11-17 | Mcalister Technologies, Llc | Coupled thermochemical reactors and engines, and associated systems and methods |
US8318131B2 (en) | 2008-01-07 | 2012-11-27 | Mcalister Technologies, Llc | Chemical processes and reactors for efficiently producing hydrogen fuels and structural materials, and associated systems and methods |
JP2010192197A (ja) * | 2009-02-17 | 2010-09-02 | Tokyo Electron Ltd | 基板処理装置及び基板処理方法 |
US8441361B2 (en) | 2010-02-13 | 2013-05-14 | Mcallister Technologies, Llc | Methods and apparatuses for detection of properties of fluid conveyance systems |
WO2011100699A2 (en) | 2010-02-13 | 2011-08-18 | Mcalister Roy E | Reactor vessels with transmissive surfaces for producing hydrogen-based fuels and structural elements, and associated systems and methods |
KR20110103723A (ko) * | 2010-03-15 | 2011-09-21 | 삼성전자주식회사 | 공정 모니터링 장치와, 이를 이용한 공정 모니터링 방법 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US20130059448A1 (en) * | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8669014B2 (en) | 2011-08-12 | 2014-03-11 | Mcalister Technologies, Llc | Fuel-cell systems operable in multiple modes for variable processing of feedstock materials and associated devices, systems, and methods |
US8888408B2 (en) | 2011-08-12 | 2014-11-18 | Mcalister Technologies, Llc | Systems and methods for collecting and processing permafrost gases, and for cooling permafrost |
WO2013025647A2 (en) | 2011-08-12 | 2013-02-21 | Mcalister Technologies, Llc | Fuel-cell systems operable in multiple modes for variable processing of feedstock materials and associated devices, systems, and methods |
WO2013025650A1 (en) | 2011-08-12 | 2013-02-21 | Mcalister Technologies, Llc | Mobile transport platforms for producing hydrogen and structural materials and associated systems and methods |
WO2013025659A1 (en) | 2011-08-12 | 2013-02-21 | Mcalister Technologies, Llc | Reducing and/or harvesting drag energy from transport vehicles, includings for chemical reactors, and associated systems and methods |
US8821602B2 (en) | 2011-08-12 | 2014-09-02 | Mcalister Technologies, Llc | Systems and methods for providing supplemental aqueous thermal energy |
WO2013025644A1 (en) | 2011-08-12 | 2013-02-21 | Mcalister Technologies, Llc | Systems and methods for extracting and processing gases from submerged sources |
US8734546B2 (en) | 2011-08-12 | 2014-05-27 | Mcalister Technologies, Llc | Geothermal energization of a non-combustion chemical reactor and associated systems and methods |
US8826657B2 (en) | 2011-08-12 | 2014-09-09 | Mcallister Technologies, Llc | Systems and methods for providing supplemental aqueous thermal energy |
US8911703B2 (en) | 2011-08-12 | 2014-12-16 | Mcalister Technologies, Llc | Reducing and/or harvesting drag energy from transport vehicles, including for chemical reactors, and associated systems and methods |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
CA2879076C (en) | 2012-07-13 | 2020-11-10 | Perkinelmer Health Sciences, Inc. | Torches and methods of using them |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) * | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
TWI467625B (zh) * | 2012-08-30 | 2015-01-01 | Univ Chang Gung | 電漿處理裝置 |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9111873B2 (en) * | 2012-10-22 | 2015-08-18 | Tokyo Electron Limited | Low profile magnetic filter |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8926719B2 (en) | 2013-03-14 | 2015-01-06 | Mcalister Technologies, Llc | Method and apparatus for generating hydrogen from metal |
WO2014149962A1 (en) * | 2013-03-14 | 2014-09-25 | Applied Materials, Inc. | Apparatus for coupling a hot wire source to a process chamber |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9534296B2 (en) | 2013-03-15 | 2017-01-03 | Mcalister Technologies, Llc | Methods of manufacture of engineered materials and devices |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9079489B2 (en) | 2013-05-29 | 2015-07-14 | Mcalister Technologies, Llc | Methods for fuel tank recycling and net hydrogen fuel and carbon goods production along with associated apparatus and systems |
US20140360670A1 (en) * | 2013-06-05 | 2014-12-11 | Tokyo Electron Limited | Processing system for non-ambipolar electron plasma (nep) treatment of a substrate with sheath potential |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9679749B2 (en) * | 2014-09-26 | 2017-06-13 | Lam Research Corporation | Gas distribution device with actively cooled grid |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
CN105789012B (zh) * | 2014-12-24 | 2018-05-01 | 中微半导体设备(上海)有限公司 | 屏蔽装置及具有该屏蔽装置的等离子体处理装置 |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
JP6545053B2 (ja) * | 2015-03-30 | 2019-07-17 | 東京エレクトロン株式会社 | 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
EP3285278A1 (en) * | 2016-08-16 | 2018-02-21 | FEI Company | Magnet used with a plasma cleaner |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
KR101931742B1 (ko) * | 2017-12-21 | 2018-12-24 | 무진전자 주식회사 | 반도체 기판의 건식 세정을 위한 플라즈마 장치 |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10483091B1 (en) | 2018-05-18 | 2019-11-19 | International Business Machines Corporation | Selective ion filtering in a multipurpose chamber |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US11011351B2 (en) * | 2018-07-13 | 2021-05-18 | Lam Research Corporation | Monoenergetic ion generation for controlled etch |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US12014901B2 (en) | 2018-10-25 | 2024-06-18 | Tokyo Electron Limited | Tailored electron energy distribution function by new plasma source: hybrid electron beam and RF plasma |
US11205562B2 (en) | 2018-10-25 | 2021-12-21 | Tokyo Electron Limited | Hybrid electron beam and RF plasma system for controlled content of radicals and ions |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR102203878B1 (ko) * | 2019-06-11 | 2021-01-15 | 한양대학교 산학협력단 | 기판 처리 장치 및 기판 처리 방법 |
CN110335802B (zh) * | 2019-07-11 | 2022-03-22 | 北京北方华创微电子装备有限公司 | 预清洗腔室及其过滤装置 |
CN115004329A (zh) | 2019-11-27 | 2022-09-02 | 应用材料公司 | 用于选择性间隙填充的双等离子体预清洁 |
JP2023503578A (ja) * | 2019-11-27 | 2023-01-31 | アプライド マテリアルズ インコーポレイテッド | 複数のプラズマユニットを有する処理チャンバ |
CN113549902A (zh) * | 2021-07-13 | 2021-10-26 | 南京邮电大学 | 一种C/TiC/TiN/TiAlN复合涂层的制备装置及其制备方法 |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5687672A (en) * | 1979-12-15 | 1981-07-16 | Anelva Corp | Dry etching apparatus |
US4534842A (en) * | 1983-06-15 | 1985-08-13 | Centre National De La Recherche Scientifique (Cnrs) | Process and device for producing a homogeneous large-volume plasma of high density and of low electronic temperature |
US4572759A (en) * | 1984-12-26 | 1986-02-25 | Benzing Technology, Inc. | Troide plasma reactor with magnetic enhancement |
DE68912400T2 (de) * | 1988-05-23 | 1994-08-18 | Nippon Telegraph & Telephone | Plasmaätzvorrichtung. |
JP2506451B2 (ja) * | 1989-08-18 | 1996-06-12 | 富士通株式会社 | 化学気相成長装置及び化学気相成長法 |
US5556501A (en) * | 1989-10-03 | 1996-09-17 | Applied Materials, Inc. | Silicon scavenger in an inductively coupled RF plasma reactor |
EP0552491B1 (en) * | 1992-01-24 | 1998-07-15 | Applied Materials, Inc. | Plasma etch process and plasma processing reactor |
US5292370A (en) * | 1992-08-14 | 1994-03-08 | Martin Marietta Energy Systems, Inc. | Coupled microwave ECR and radio-frequency plasma source for plasma processing |
US5556521A (en) * | 1995-03-24 | 1996-09-17 | Sony Corporation | Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source |
US6013155A (en) * | 1996-06-28 | 2000-01-11 | Lam Research Corporation | Gas injection system for plasma processing |
JPH11135297A (ja) * | 1997-10-31 | 1999-05-21 | Kumagai Hiromi | プラズマ発生器 |
GB2331179B (en) * | 1997-11-07 | 2002-03-20 | Applied Materials Inc | Method of preventing negative charge build up on a substrate being implanted w ith positive ions and ion implantation apparatus for performing such a method |
US6051151A (en) * | 1997-11-12 | 2000-04-18 | International Business Machines Corporation | Apparatus and method of producing a negative ion plasma |
US6352049B1 (en) * | 1998-02-09 | 2002-03-05 | Applied Materials, Inc. | Plasma assisted processing chamber with separate control of species density |
US6250250B1 (en) * | 1999-03-18 | 2001-06-26 | Yuri Maishev | Multiple-cell source of uniform plasma |
US6413359B1 (en) * | 2000-04-04 | 2002-07-02 | K2 Keller Consulting | Plasma reactor with high selectivity and reduced damage |
AU2002236599A1 (en) * | 2001-01-08 | 2002-07-16 | Tokyo Electron Limited | Capacity coupled rf voltage probe |
US6755150B2 (en) * | 2001-04-20 | 2004-06-29 | Applied Materials Inc. | Multi-core transformer plasma source |
-
2002
- 2002-11-22 AU AU2002366943A patent/AU2002366943A1/en not_active Abandoned
- 2002-11-22 JP JP2003555541A patent/JP2005514762A/ja active Pending
- 2002-11-22 WO PCT/US2002/037589 patent/WO2003054912A1/en active Application Filing
-
2004
- 2004-06-03 US US10/859,129 patent/US20040219737A1/en not_active Abandoned
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101592613B1 (ko) * | 2008-03-21 | 2016-02-05 | 도쿄엘렉트론가부시키가이샤 | 단일 에너지의 중성 빔 활성화된 화학적 처리 시스템 및 사용 방법 |
US9520275B2 (en) | 2008-03-21 | 2016-12-13 | Tokyo Electron Limited | Mono-energetic neutral beam activated chemical processing system and method of using |
US10734200B2 (en) | 2008-03-21 | 2020-08-04 | Tokyo Electron Limited | Mono-energetic neutral beam activated chemical processing system and method of using |
JP2010212234A (ja) * | 2009-02-13 | 2010-09-24 | Y S Denshi Kogyo Kk | プラズマ発生装置 |
JP2018088465A (ja) * | 2016-11-28 | 2018-06-07 | 東京エレクトロン株式会社 | 基板処理装置及び遮熱板 |
TWI749109B (zh) * | 2016-11-28 | 2021-12-11 | 日商東京威力科創股份有限公司 | 基板處理裝置及隔熱板 |
Also Published As
Publication number | Publication date |
---|---|
US20040219737A1 (en) | 2004-11-04 |
WO2003054912A1 (en) | 2003-07-03 |
AU2002366943A1 (en) | 2003-07-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2005514762A (ja) | 加工物をプラズマ処理するための磁気フィルタを備える方法および装置 | |
JP7385621B2 (ja) | イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ | |
KR100255703B1 (ko) | 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법 | |
US5430355A (en) | RF induction plasma source for plasma processing | |
US6350347B1 (en) | Plasma processing apparatus | |
US7491649B2 (en) | Plasma processing apparatus | |
US7863582B2 (en) | Ion-beam source | |
US6083363A (en) | Apparatus and method for uniform, low-damage anisotropic plasma processing | |
US6197151B1 (en) | Plasma processing apparatus and plasma processing method | |
US6902683B1 (en) | Plasma processing apparatus and plasma processing method | |
EP0507885B1 (en) | A low frequency inductive rf plasma reactor | |
KR101593540B1 (ko) | 폭이 넓은 리본 이온 빔 발생을 위한 고밀도 헬리콘 플라즈마 소스 | |
US9564297B2 (en) | Electron beam plasma source with remote radical source | |
US8590485B2 (en) | Small form factor plasma source for high density wide ribbon ion beam generation | |
US5032205A (en) | Plasma etching apparatus with surface magnetic fields | |
JPH0770532B2 (ja) | プラズマ処理装置 | |
GB2251977A (en) | Plasma processing | |
US20040084151A1 (en) | Magnetron plasma etching apparatus | |
JPH10229000A (ja) | プラズマ発生装置およびそれを用いたイオン源 | |
US8760054B2 (en) | Microwave plasma electron flood | |
JP3254069B2 (ja) | プラズマ装置 | |
JPH11135297A (ja) | プラズマ発生器 | |
JP4527432B2 (ja) | プラズマ処理方法及びプラズマ処理装置 | |
JP2001160553A (ja) | プラズマ装置 | |
JPH0578849A (ja) | 有磁場マイクロ波プラズマ処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050622 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080819 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20081216 |