KR20220002748A - 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들 - Google Patents

고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들 Download PDF

Info

Publication number
KR20220002748A
KR20220002748A KR1020217042951A KR20217042951A KR20220002748A KR 20220002748 A KR20220002748 A KR 20220002748A KR 1020217042951 A KR1020217042951 A KR 1020217042951A KR 20217042951 A KR20217042951 A KR 20217042951A KR 20220002748 A KR20220002748 A KR 20220002748A
Authority
KR
South Korea
Prior art keywords
power
ahm
film
substrate
plasma
Prior art date
Application number
KR1020217042951A
Other languages
English (en)
Inventor
매튜 스콧 웨이머
프라모드 수브라모니움
라게시 푸텐코빌라캄
루준 바이
데이비드 프렌치
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220002748A publication Critical patent/KR20220002748A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 명세서에 제공된 것은 고 전력에서 저 주파수 무선 주파수 컴포넌트를 펄싱함으로써 기판 상에 AHM (Ashable Hard Mask) 을 증착하기 위한 방법들 및 관련된 장치이다. 저 주파수 전력을 펄싱하는 것은 AHM의 선택도를 상승시키거나 응력을 감소시키도록 사용될 수도 있다. AHM은 이어서 기판의 하부 층들 내로 피처들을 에칭하도록 사용될 수도 있다.

Description

고 전력 펄싱된 저 주파수 RF에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
비정질 탄소 막들이 메모리 및 로직 디바이스 제조를 포함하는 반도체 프로세싱에서 하드 마스크들 및 에칭 정지 층들로서 사용될 수도 있다. 이들 막들은 애싱 (ashing) 기법에 의해 제거될 수도 있기 때문에 또한 AHM들 (Ashable Hard Masks) 로 공지되었다. 리소그래피의 종횡비들이 상승함에 따라, AHM들은 보다 높은 에칭 선택도를 필요로 한다. PECVD (Plasma Enhanced Chemical Vapor Deposition) 프로세스들을 사용하여 매우 선택적인 AHM들을 형성하는 현재 방법들은 고 응력을 갖는 AHM들을 발생시켜, 하드 마스크들로서의 AHM들의 유용성을 제한한다. 따라서, 고 에칭 선택도를 갖지만 저 응력인 AHM들을 생성하는 것이 바람직하다.
본 명세서에 포함된 배경기술 및 문맥적 기술들 (descriptions) 은 단지 본 개시의 맥락을 일반적으로 제시하는 목적을 위한 것이다. 본 개시의 대부분은 발명자들의 작업을 제시하고, 단순히 이러한 작업이 배경기술 섹션에 기술되거나 본 명세서 전반의 맥락으로서 제시되기 때문에 종래 기술로 인정되는 것을 의미하지는 않는다.
참조로서 인용
PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
본 명세서에 개시된 것은 응력 레벨들을 감소시키고 에칭 선택도를 상승시키는 플라즈마 강화된 화학적 기상 증착 (Plasma Enhanced Chemical Vapor Deposition; PECVD) 에 의해 애싱 가능한 하드 마스크 (Ashable Hard Mask; AHM) 막을 증착하는 방법들 및 시스템들이다. 다양한 실시 예들에서, 방법들은 탄화수소 전구체를 포함하는 프로세스 가스에 기판을 노출시키는 단계, 및 저 주파수 (Low Frequency; LF) 전력을 펄싱함으로써 듀얼 무선 주파수 (dual RF (Radio Frequency)) 소스를 사용하여 플라즈마를 생성하는 단계를 수반할 수 있다. LF 전력을 고 전력, 고 주파수, 및 저 듀티 사이클 (Duty Cycle; DC) 로 펄싱하는 것은 AHM 막의 모듈러스 (modulus), 및 따라서 선택도를 상승시킬 수도 있다. 실질적으로 헬륨인 캐리어 가스가 또한 AHM 막의 스퍼터링 (sputtering) 을 감소시킬 수도 있다.
본 명세서의 실시 예들의 일 양태에서, 애싱 가능한 AHM 막을 형성하는 방법이 제공되고, 방법은 실질적으로 어떠한 다른 불활성 가스 없이, 탄화수소 전구체 가스 및 헬륨 가스를 포함할 수도 있는 프로세스 가스에 반도체 기판을 노출시키는 단계; 및 PECVD 프로세스에 의해 기판 상에 AHM 막을 증착하는 단계를 포함할 수도 있고, PECVD 프로세스는 고 주파수 (High Frequency; HF) 컴포넌트 및 LF 컴포넌트를 포함하는 듀얼 RF 플라즈마 소스에 의해 생성된 플라즈마를 점화하는 단계를 포함할 수도 있고, HF 전력은 증착 동안 일정하고, 그리고 LF 전력은 300 ㎜ 웨이퍼 당 적어도 약 3000 W 및 약 10 % 내지 약 75 %의 듀티 사이클로 펄싱된다.
일부 실시 예들에서, 탄화수소 전구체 가스는 최대 약 50 g/mol의 분자량을 갖는 화합물들을 포함할 수도 있다. 일부 구현 예들에서, 탄화수소 전구체 가스는 적어도 0.5의 C:H 비를 갖는 화합물들을 포함할 수도 있다. 다양한 구현 예들에서, 탄화수소 전구체 가스는 아세틸렌 (C2H2) 을 포함할 수도 있다. 일부 실시 예들에서, 탄화수소 전구체 가스는 프로세스 가스의 약 1 내지 2 %의 분압을 갖는다.
다양한 실시 예들에서, LF 전력은 약 2 ㎒ 이하의 주파수로 제공된다. 다양한 실시 예들에서, LF 전력은 300 ㎜ 웨이퍼 당 약 3500 W 내지 약 6500 W이다. 일부 구현 예들에서, LF 전력은 적어도 약 100 ㎐의 주파수로 펄싱된다. 일부 구현 예들에서, LF 전력은 약 100 ㎐ 내지 약 1000 ㎐의 주파수로 펄싱된다.
일부 실시 예들에서, LF 전력 듀티 사이클은 약 10 % 내지 약 50 %이다. 다양한 실시 예들에서, LF 전력 듀티 사이클은 약 60 % 내지 약 90 %이다. 다양한 구현 예들에서, LF 전력은 약 200 ㎳ 내지 약 300 ㎳의 지속 기간 동안 온 기간 (on period) 을 갖는다. 일부 실시 예들에서, 방법은 멀티-스테이션 반응기에서 수행된다.
다양한 구현 예들에서, AHM 막의 내부 응력은 최대 약 -1400 MPa이다. 다양한 실시 예들에서, AHM 막의 모듈러스는 적어도 약 80 GPa이다. 일부 실시 예들에서, AHM 막의 밀도는 적어도 약 1.5 g/cm3이다.
일부 구현 예들에서, AHM 막의 수소 농도는 최대 약 25 원자% (atomic percent) 이다. 다양한 실시 예들에서, AHM 막의 두께는 최대 약 2500 ㎚이다. 일부 실시 예들에서, 페데스탈과 샤워헤드 사이의 갭은 약 20 ㎜ 미만이다.
일부 실시 예들에서, 방법은 증착된 AHM 막을 패터닝하는 단계 및 기판 내에 AHM 막의 피처들을 규정하도록 패터닝된 AHM 막을 에칭하는 단계를 더 포함할 수도 있다. 다양한 실시 예들에서, 방법은 AHM 막 하부 (underlying) 기판의 층들을 에칭하는 단계를 더 포함할 수도 있다.
본 명세서의 실시 예들의 또 다른 양태에서, AHM 막을 형성하는 방법이 제공되고, 방법은: 탄화수소 전구체 가스 및 불활성 가스를 포함할 수도 있는 프로세스 가스에 반도체 기판을 노출시키는 단계; 및 PECVD 프로세스에 의해 기판 상에 AHM 막을 증착하는 단계를 포함하고, PECVD 프로세스는: HF 컴포넌트 및 LF 컴포넌트를 포함하는 듀얼 RF 플라즈마 소스에 의해 생성된 플라즈마를 점화하는 단계를 포함할 수도 있고, HF 전력은 증착 동안 일정하고, 그리고 LF 전력은 300 ㎜ 웨이퍼 당 적어도 약 3000 W로 펄싱되고 듀티 사이클 당 LF 전력은 300 ㎳ 미만이다.
일부 구현 예들에서, LF 전력 듀티 사이클은 약 10 % 내지 약 50 %이다. 다양한 실시 예들에서, LF 전력 온 시간 (on time) 은 200 ㎳ 내지 300 ㎳이다. 일부 구현 예들에서, LF 전력은 적어도 100 ㎐의 주파수로 펄싱된다.
이들 및 다른 특징들은 도면들을 참조하여 이하에 보다 상세하게 기술될 것이다.
도 1은 다양한 실시 예들에 따른 에칭 동작들에서 애싱 가능한 하드 마스크들을 사용하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 2a 및 도 2b는 패터닝된 애싱 가능한 하드 마스크의 라인-벤딩 (line-bending) 의 예시들이다.
도 3은 다양한 실시 예들에 따른 듀얼 무선 주파수 플라즈마 생성기들을 변조시킴으로써 애싱 가능한 하드 마스크들을 형성하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 4a 내지 도 4c는 LF 전력 펄싱에 관한 다양한 특성들의 예시들이다.
도 5는 다양한 실시 예들에 대한 LF 전력의 함수로서 애싱 가능한 하드 마스크의 굴절률의 그래프를 도시한다.
도 6은 다양한 실시 예들에 대한 응력의 함수로서 애싱 가능한 하드 마스크의 굴절률의 그래프를 도시한다.
도 7은 다양한 실시 예들을 실시하기에 적합한 PECVD 챔버의 개략적인 예시를 도시한다.
도 8은 다양한 실시 예들을 실시하기에 적합한 또 다른 PECVD 챔버의 또 다른 개략적인 예시를 도시한다.
도 9는 다양한 실시 예들을 실시하기에 적합한 모듈 클러스터의 개략적인 예시를 도시한다.
도입 및 맥락
반도체 프로세싱에서, 마스킹 방법들이 기판들을 패터닝하고 에칭하도록 사용된다. 기판 종횡비들이 상승함에 따라, 매우 선택적인 하드 마스크들에 대한 수요가 상승한다. 고 에칭 선택도를 갖지만 기판에 손상 없이 제거하기 쉬운 마스크들은 기판들의 프로세싱에 중요하다. 에칭 정지 층들에서 또는 선택적인 에칭 동안 마스크들로서 AHM들 (Ashable Hard Masks) 이 사용될 수 있고, 또는 포토레지스트가 하부 (underlying) 층을 마스킹하기에 충분히 두껍지 않을 수도 있다. AHM들은 또한 디스플레이들 및 다른 기술들에 사용된 유리 기판들 상에서 사용될 수도 있다.
AHM 막들은 이들이 목적에 맞으면 “애싱 (ashing)”, “플라즈마 애싱”, 또는 “건식 스트립핑 (dry stripping)”으로 지칭된 기법에 의해 제거되게 하는 화학적 조성을 갖는다. AHM 막의 일 예는 비정질 탄소 층 또는 막이다. AHM 막은 일반적으로 탄소 및 수소, 선택 가능하게, 하나 이상의 미량 도펀트들 (예를 들어, 질소, 불소, 붕소, 및 실리콘) 로 구성된다. AHM의 본딩 구조는 증착 조건들에 따라, sp2 (흑연-유사) 또는 sp3 (다이아몬드-유사), 또는 이 둘의 조합으로부터 가변될 수 있다.
도 1은 에칭 동작들에서 하드 마스크로서 AHM을 사용하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다. 이하의 기술 (description) 이 반도체 기판들을 주로 참조하지만, 방법들은 또한 유리 기판들을 포함하는 다른 타입들의 기판들 상의 층들에 적용될 수도 있다. AHM으로 마스킹될 수도 있는 재료들의 예들은 옥사이드들 (예를 들어, SiO2) 및 나이트라이드들 (예를 들어, SiN 및 TiN) 과 같은 유전체 재료들, 폴리실리콘 (Poly-Si), 그리고 알루미늄 (Al), 구리 (Cu), 및 텅스텐 (W) 과 같은 금속들을 포함한다. 특정한 실시 예들에서, 본 명세서에 기술된 AHM들은 옥사이드들, 나이트라이드들, 또는 폴리실리콘 층들을 패터닝하도록 사용된다.
동작 102에서, 애싱 가능한 (ashable) 하드 마스크가 PECVD (Plasma Enhanced Chemical Vapor Deposition) 에 의해 에칭될 층 상에 증착된다. PECVD 프로세스들은 증착 챔버에서 플라즈마를 생성하는 단계를 수반한다. 도 2를 참조하여 이하에 더 기술된 바와 같이, HF (High Frequency) 전력 및 LF (Low Frequency) 전력을 포함하는 듀얼 무선 주파수 (Radio Frequency; RF) 플라즈마 소스들이 사용될 수도 있다. 일부 프로세스들에서, 하나 이상의 AHM 층들이 증착된다.
동작 106에서, 목표된 에칭 패턴에 따라 포토레지스트 층이 증착되고, 노출되고, 그리고 현상된다. 일부 구현 예들에서, ARL (Anti-Reflective Layer) 이 포토레지스트 증착 전에 AHM 막 상에 증착될 수도 있다.
동작 108에서, AHM 막이 AHM의 노출된 부분들을 에칭함으로써 개방된다. AHM을 개방하는 것은 불소-풍부 (fluorine-rich) 건식 에칭에 의해 수행될 수도 있다.
다음으로 동작 110에서, 기판 층은 기판 층에 패턴을 전사하도록 선택적으로 에칭된다. 선택적인 에칭은 기판 층이 AHM 벽들을 실질적으로 약화시키지 않고 에칭되도록 수행될 수도 있다. 에칭들의 예들은 라디칼-기반 및/또는 이온-기반 에칭들을 포함할 수 있다. 에칭 화학 물질들의 예들은 불소-함유 및 염소-함유 에칭 화학 물질들과 같은 할로겐-기반 에칭 화학 물질들을 포함할 수 있다. 예를 들어, 플루오로카본-함유 프로세스 가스들로부터 생성된 용량 결합 플라즈마들 (capacitively-coupled plasmas) 이 옥사이드 층들을 선택적으로 에칭하도록 사용될 수도 있다. 프로세스 가스들의 특정한 예들은 선택 가능하게 C4H8/CH2F2/O2/Ar과 같은 산소 (O2) 및 불활성 가스와 함께, CxFy-함유 프로세스 가스들을 포함한다.
마지막으로 동작 112에서, 애싱, 플라즈마 애싱, 또는 건식 스트립핑으로 지칭된 기법이 AHM을 제거하도록 사용된다. 애싱은 산소-풍부 건식 에칭에 의해 수행될 수도 있다. 종종, 산소는 진공 하의 챔버에 도입되고, RF 전력은 AHM과 반응하도록 플라즈마에서 산소 라디칼들을 생성하고 이를 물 (H2O), 일산화탄소 (CO), 및 이산화탄소 (CO2) 로 산화시킨다. 선택 가능하게, 임의의 남아 있는 AHM 잔여물은 또한 애싱 후 습식 또는 건식 에칭 프로세스들에 의해 제거될 수도 있다. 결과는 패터닝된 기판 층이다.
고 종횡비 패터닝은 고 에칭 선택도를 갖는 AHM들을 사용한다. 에칭 선택도는 AHM 층의 에칭 레이트를 하부 층의 에칭 레이트와 비교함으로써 결정될 수 있다. 에칭 선택도는 때때로 AHM 층의 수소 함량, RI (Refractive Index), 밀도, 및 모듈러스, 또는 강성 (rigidity) 을 결정함으로써 근사치를 낼 (approximate) 수 있다. 통상적으로, 보다 낮은 수소 함량, 보다 낮은 RI, 보다 높은 밀도, 및 보다 높은 모듈러스, 또는 보다 강성인 AHM은 보다 많은 이온 충격 (ion bombardment) 을 수반하는 에칭 프로세스에서 보다 높은 에칭 레이트들을 견딜 수 있다. 따라서, 보다 낮은 수소 함량, 보다 낮은 RI, 보다 높은 밀도, 및/또는 보다 높은 모듈러스를 갖는 AHM들은 보다 높은 선택도 및 보다 낮은 에칭 레이트를 갖고, 고 종횡비 반도체 프로세스들을 프로세싱하기에 보다 효율적이고 효과적으로 사용될 수 있다. AHM의 목표된 에칭 선택도는 에칭 프로세스 및 하부 층들의 조성에 종속될 수도 있지만, 상기 에칭 선택도와 재료 특성들 사이의 상관관계는 하부 층들의 에칭 프로세스 또는 조성에 관계없이 동일하게 유지된다. 본 명세서에 기술된 선택도 상관관계들은 폴리실리콘 층들, 옥사이드 층들, 및 나이트라이드 층들을 포함하는 모든 타입들의 하부 층들에 적용된다.
CW (Continuous Wave) LF 및 HF 플라즈마를 사용하여 생성된 AHM 막들이 특정한 문제들을 가질 수도 있다는 것이 관찰되었다. 예를 들어, 이들은 상대적으로 고 내부 응력, 고 수소 함량, 저 밀도, 및/또는 저 경도/모듈러스를 가질 수도 있다. 차세대 메모리 및 로직 애플리케이션들의 계속 축소되는 피처 사이즈는 상당한 양의 라인-벤딩 (line-bending), 또는 패턴이 막들의 스택 내로 에칭된 후 피처들의 왜곡을 나타내지 않는 막들을 필요로 한다. 도 2a 및 도 2b는 레지스트의 라인-벤딩의 예시들이다. 도 2a는 높이, 또는 두께 'h', 및 라인 폭 'w'를 갖는 패터닝된 AHM의 피처 (200) 를 도시한다. 도 2a는 라인 벤딩을 갖지 않고, 이는 AHM의 피처들에 대한 이상적인 조건이다. 도 2b는 동일한 피처이지만 수직 양태 (223) 및 수평 양태 (225) 를 가질 수도 있는, 상당한 라인-벤딩을 도시한다. 예시된 바와 같이, 라인-벤딩은 커브된, 각진, 또는 달리 벤딩된 수평 성분으로서 시현될 (manifested) 수도 있다. 일부 경우들에서, 라인-벤딩은 라인이 형성되는 기판의 평면에 수직 (직각) 으로부터 벗어나는 수직 성분으로서 시현된다. 도시된 실시 예에서, 라인은 팬-유사 (fan-like) 형상을 갖는다. 라인-벤딩은 LER (Line Edge Roughness) 및 LWR (Line Width Roughness) 을 상승시키고 AHM 및 AHM을 사용하여 에칭된 하부 층들의 CDU (Critical Dimension Uniformity) 를 감소시키는, 여러 가지 다양한 이유들로 바람직하지 않다. 일반적으로, 라인-벤딩은 패턴이 막들의 스택 내로 에칭된 후 피처들의 왜곡을 유발할 수도 있다.
AHM의 라인-벤딩은 다음 식에 의해 대략적으로 모델링될 수 있다:
Figure pct00001
Figure pct00002
및 E는 각각 AHM의 내부 압축 응력 및 모듈러스이다. 이 식은 라인-벤딩이 응력 및 높이와 정비례하고, 응력 또는 높이 (즉, 두께) 가 높을수록 증가하는 반면, 모듈러스 및 폭에 반비례하고, 모듈러스 또는 폭이 증가할수록 감소한다. 피처들의 사이즈가 축소됨에 따라, AHM 피처들의 폭은 새로운 임계 치수 요건들을 만족하도록 감소한다. 또한, 에칭 프로세스를 위해 필요한 AHM의 두께는 선택도에 반비례하고, 고 선택도는 보다 박형 AHM을 허용하고, 보다 낮은 선택도는 보다 두꺼운 AHM을 필요로 한다. 따라서, 라인-벤딩은 응력을 감소시키거나, 모듈러스를 증가시키거나, 또는 두께를 감소시킴으로써 감소될 수도 있지만, 두께를 감소시키는 것은 선택도를 상승시키는 것을 필요로 한다.
매우 선택적인 AHM 막들은 통상적으로 고 응력 레벨들을 갖는다. AHM들을 형성하기 위한 일부 방법들은 PECVD 프로세스에서 연속파 (CW) RF 전력 플라즈마를 사용한다. CW RF 전력을 사용하는 것은 막 밀도를 상승시키는 연속적인 이온 충격을 발생시키고, 이에 따라 탄소 원자들 사이에 보다 많은 sp3 본드들을 생성함으로써 에칭 선택도를 상승시킨다. 그러나, 연속적인 이온 충격은 또한 막 내에서 과도하게 본딩되지 않은 수소 원자들을 통합하고 무거운 원자량 이온들을 사용하는 충격에 의해 성장하는 막을 개질할 수도 있다. 이들 효과들은 증착된 AHM 막의 응력을 상승시킬 수도 있고, 이는 고 응력 AHM들이 라인-벤딩을 나타내기 보다 쉽기 때문에 AHM 적용 예들을 제한한다.
반면, 저 응력 레벨들을 갖고, 보다 적은 라인-벤딩을 수반하는 AHM들은 보다 낮은 선택도를 갖는다. AHM들을 형성하기 위한 일부 방법들은 PECVD 프로세스 동안 RF 전력 플라즈마를 펄싱한다. RF 전력을 펄싱하는 것은 펄싱된 이온 충격을 발생시키고, 이는 응력 레벨들을 감소시켜, 라인-벤딩을 감소시킨다. 그러나, 펄싱된 이온 충격은 또한 sp3 본드들의 수를 감소시킬 수도 있고, 이는 보다 낮은 밀도 및 보다 낮은 선택도를 초래한다. 보다 낮은 선택도는 동일한 에칭 프로세스에 대해 보다 두꺼운 AHM을 필요로 하고, 이는 라인-벤딩의 양을 증가시킨다.
다양한 실시 예들에 따라, AHM 막들을 형성하는 방법들은 고 선택도 및 저 응력을 갖는 막들을 생성한다. AHM 막 증착 기법은 내부 응력을 감소시키고 (응력을 보다 중립으로 만듦), 수소 함량을 감소시키고, 그리고 AHM들로서 사용 가능한 DLC (Diamond-Like Carbon) 막들의 선택도를 상승시키기 위해 고 단일 스테이션 LF 전력에서, CW HF RF와 함께 또는 없이, LF RF 펄싱을 사용한다. 이들 방법들은 미리 결정된 응력 레벨에서 개선된 선택도, 또는 미리 결정된 선택도에서 감소된 응력 레벨을 갖는 AHM들을 산출하고, 따라서 반도체 프로세싱에서 AHM 성능을 개선한다.
다양한 실시 예들에서, AHM 증착 기법은 내부 응력을 감소시키고 (응력을 보다 중립으로 만듦), 수소 함량을 감소시키고, 그리고 AHM으로서 사용될 때 DLC 막들의 선택도를 상승시키기 위해 고 단일 스테이션 LF 전력에서, CW HF RF와 함께 또는 없이, LF RF 펄싱을 사용한다. 이 프로세스에 3 개의 메인 컴포넌트들이 있을 수도 있다. 먼저, 고 LF 전력이 스테이션 각각에서 사용될 수도 있다. 다양한 실시 예들에서, 프로세스의 일반적인 범위는 DLC 막의 상당한 응력 감소 및 치밀화와 함께 스테이션 당 LF 전력의 3500 내지 6500 W이다. 두 번째로, 실질적으로 헬륨만을 함유하는 캐리어 가스가 사용된다. 아르곤이 종래에 균일성을 위해 플라즈마 함유를 돕도록 사용되었다. 그러나, 아르곤 이온들은 고 이온 에너지들에서 AHM을 스퍼터링할 (sputter) 수도 있고, 밀도와 선택도를 감소시킨다. 세 번째로, 짧은 LF “온 시간 (on time)”을 발생시키는, 빠른 펄싱 주파수 및 저 듀티 사이클은 플라즈마로 하여금 저 평균 이온 밀도를 유지하는 동안 피크 이온 에너지를 상승시키게 한다. 즉, 빠른 LF 펄싱 때문에, 연속파 플라즈마보다 고 에너지를 갖는 보다 적은 이온들이 있다. 고 LF 전력의 이익들은 펄싱이 채용될 때 가장 중요할 수도 있다.
특정한 실시 예들은 단지 He/탄화수소 전구체 대기의 스테이션 당 고 LF 전력에서, CW HF와 함께 또는 없이, 상대적으로 빠른 (25 % 듀티 사이클에서 100 ㎐보다 큼) LF 펄싱을 사용한다.
도 3은 다양한 실시 예들에 따른 듀얼 RF 플라즈마 전력을 변조함으로써 AHM들을 형성하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도를 도시한다. 동작 302에서, 기판이 프로세스 챔버 내에 수용된다. 기판은 이 동작에서 챔버에 제공될 수도 있고, 또는 기판이 이미 이전 동작으로부터 챔버 내에 있을 수도 있다. 동작 304에서, 기판은 탄화수소 전구체를 포함하는 프로세스 가스에 노출된다. 탄화수소 전구체들에 더하여, 불활성 가스 캐리어 (gas carrier) 가 사용될 수도 있다. 불활성 가스는 헬륨 (He), 아르곤 (Ar), 질소 (N2), 수소 (H2), 또는 이들 중 임의의 조합을 포함할 수도 있다. 일부 실시 예들에서, 불활성 가스는 실질적으로 완전히 헬륨이다.
다음으로 동작 306에서, 애싱 가능한 하드 마스크가 펄싱된 LF 컴포넌트 및 HF 컴포넌트를 갖는 플라즈마를 생성하기 위해 듀얼 RF 플라즈마 소스를 사용하여 플라즈마를 점화함으로써 PECVD 프로세스에 의해 기판 상에 증착된다. 펄싱된 LF 컴포넌트는 LF 전력 소스를 펄싱함으로써 생성될 수도 있다. 일부 실시 예들에서, LF RF 전력을 펄싱하는 것은 저 평균 이온 밀도를 사용하여 고 피크 에너지 이온 충격을 생성하기 위해 고 전력, 고속 펄스, 및 저 듀티 사이클을 사용하는 것을 포함한다.
동작 306의 결과는 AHM 막이다. 이 프로세스는 보다 우수한 밀도 대 응력 비 및 보다 높은 선택도를 갖는 막을 생성한다. LF 전력의 듀티 사이클에 따라, 펄싱 주파수는 평균 이온 밀도를 변화시키는 동안 고 평균 이온 에너지를 유지하도록 조정될 수도 있다. 일부 실시 예들에서, DC는 저 모듈러스, 저 응력의 막을 생성하도록 감소될 수도 있다. 다른 실시 예들에서, DC는 또한 고 모듈러스, 고 응력의 막을 생성하도록 상승될 수도 있다. DC를 상승시키는 것은 또한 AHM 막의 증착 레이트를 상승시킬 수도 있다. 두 타입들의 막들은 다른 프로세스 조건들에 따라 바람직할 수도 있다.
제안된 메커니즘
도 4a 내지 도 4c는 LF 전력이 펄싱되는 방법, 및 AHM 증착의 증착 결과들을 개선할 수도 있는 방법을 보여준다. 도 4a는 시간이 지남에 따라 펄싱된 LF 전력, 및 연관된 듀티 사이클을 도시한다. 시간 402에서 LF 전력이 온되거나 고 전력으로 설정되는 반면, 시간 404에서 LF 전력은 오프되거나 저 전력으로 설정된다. 당업계에 공지되고 예시된 바와 같이, 듀티 사이클은 식 DC = t/(t+t오프)에 의해 규정되고, 전력이 온되거나 고 전력으로 설정되는 시간의 백분율을 나타낸다. 듀티 사이클 및 펄스 주파수는 함께 LF 전력이 온되는 시간을 결정하도록 사용될 수 있고, 즉, 25 %의 듀티 사이클을 갖는 100 ㎐ 펄스 주파수는 LF 전력이 2.5 ㎳ 동안 온되고, 7.5 ㎳ 동안 오프되는 것을 나타낸다.
도 4b는 LF 전력이 본 개시에 따른 AHM 막들을 형성하기 위한 가능한 메커니즘에 따라 온 및 오프될 때 AHM의 증착 동안 기판의 표면의 예시이다. 상태 410은 LF 전력이 온일 때의 기판 표면을 예시한다. LF 전력은 일반적으로 플라즈마의 이온 컴포넌트를 에너자이징하고, LF 전력이 온될 때 탄소 이온들 (412) 은 기판의 표면에 충격을 가한다. 이온 충격은 상기 논의된 바와 같이 밀도를 상승시킬 수도 있지만, 대전된 이온들의 보다 높은 밀도는 또한 바람직하지 않은 AHM의 응력을 상승시킬 수도 있고, 또한 대전된 표면 (414) 을 형성할 수도 있다. 상태 (420) 는 LF 전력이 오프될 때 기판 표면의 가능한 상태를 예시한다. LF 전력이 오프될 때, 이온 충격은 중단되거나 실질적으로 감소되고, 기판 표면 내에 임베딩된 (embed) 이온들은 중성 전하를 발생시키기 위해 전자들 (422) 을 흡수한다. 이론에 얽매이기를 바라지 않고, 이는 이온들로 하여금 보다 정렬된 구조 (424) 를 형성하게 하여 기판 표면 내의 응력을 감소시키고, 이는 바람직하다. 그러나, 응력의 감소는 감소된 밀도, 그리고 따라서 선택도의 희생으로 될 수도 있다. LF 전력을 펄싱함으로써, 표면은 감소된 내부 응력을 갖는 AHM을 증착하기 위해 상태 410과 상태 420 사이를 교번할 수도 있다.
도 4c는 온도가 전자 볼트인, 시간에 따른 플라즈마 온도의 그래프이다. LF 전력이 펄싱될 때, 점화 시 짧은 고 이온 에너지 피크 (442), 이어서 온도가 안정화되는 에너지 선반 (energy shelf) (444), 그리고 마지막으로 LF 전력이 턴 오프될 때 저온 영역 (446) 이 있다. 에너지 피크 (442) 는 실질적으로 정적 시간 지속 기간을 갖고, 즉, LF 전력이 얼마나 빨리 펄싱되는지에 관계 없이, 에너지 피크는 실질적으로 동일한 양의 시간 동안 지속될 것이다. 반면, 에너지 선반 (444) 은 LF 전력이 얼마나 길게 온되는지에 따라 보다 많거나 보다 적은 시간 동안 지속된다. 따라서, 고 펄스 주파수들 및 저 듀티 사이클들에서, LF 전력은 저 평균 이온 밀도를 유지하는 동안 보다 높은 평균 이온 에너지를 보여준다. 특정한 범위의 에너지 내에서, 보다 높은 평균 이온 에너지는 하드 마스크의 모듈러스를 증가시키고 이는 바람직한 반면, 저 평균 이온 밀도는 응력을 감소시키고 이는 또한 바람직하다.
일부 실시 예들에서, 듀티 사이클은 평균 이온 밀도를 상승시키도록 상승할 수도 있고, 이는 부가적인 응력의 희생으로 보다 치밀하고 보다 높은 모듈러스의 AHM을 발생시킬 수도 있다. 보다 높은 DC를 사용하여 증착된 AHM 막들은 여전히 본 명세서에 개시된 기법들을 사용함으로써 보다 높은 평균 이온 에너지를 가질 수도 있고, 동일한 양의 내부 응력을 갖는 다른 막들보다 우수한 선택도를 갖는 막을 발생시킨다.
플라즈마는 또한 불활성 가스를 포함하고, 일부 실시 예들에서 불활성 가스는 어떠한 다른 가스 없이 실질적으로 헬륨이다. 아르곤과 같은 보다 무거운 불활성 가스들은 균일성을 위해 플라즈마 함유를 돕도록 일반적으로 사용되지만, 이러한 이온들은 3000 W 이상의 이온 에너지들에서 AHM을 스퍼터링할 수도 있다. 이는 명백히 증착 프로세스 동안 바람직하지 않다. 헬륨이 저 이온 에너지들에서 AHM을 스퍼터링하지 않고 사용될 수도 있고, 이는 바람직하며 보다 균일한 증착을 발생시킨다.
프로세스 윈도우
이 섹션은 AHM 막들을 생성하도록 채용될 수도 있는 다양한 프로세스 파라미터들을 기술한다. 프로세스 파라미터들은 이하에 기술된 것과 같은 프로세스 챔버에서 발생하는 플라즈마 강화된 화학적 기상 증착 프로세스를 위해 제공된다.
다양한 실시 예들에서, 프로세스 챔버의 총 압력은 약 0.5 Torr 내지 약 20 Torr이다. 일부 실시 예들에서, 압력은 약 5 Torr 내지 약 10 Torr, 또는 약 0.5 Torr 내지 약 1.5 Torr이다. 일부 실시 예들에서, 탄화수소 전구체는 미국 특허 번호 제 7,981,777 호 및 제 7,981,810 호에 논의된 바와 같이 상대적으로 저 분압, 예를 들어, 약 0.01 Torr 내지 약 4 Torr로 프로세스 챔버 내에 존재하고, 이는 전체가 본 명세서에 참조로서 인용된다. 특정한 실시 예들에서, 탄화수소 전구체 분압은 약 0.2 Torr 이하이다.
일부 실시 예들에서, 탄화수소 전구체는 식 CxHy에 의해 규정되고, X는 2 내지 10의 정수이고, Y는 2 내지 24의 정수이다. 예들은 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 부탄 (C4H10), 사이클로헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8) 을 포함한다. 특정한 실시 예들에서, 탄화수소 전구체는 할로겐화된 탄화수소이고, 하나 이상의 수소 원자들은 할로겐, 특히 불소, 염소, 브롬, 및/또는 요오드에 의해 대체된다. 일부 실시 예들에서, 탄화수소 전구체는 최대 약 50 g/mol의 분자량을 갖는 화합물들을 포함한다. 일부 실시 예들에서, 탄화수소 전구체는 적어도 1:2의 C:H 비를 갖는다. 일부 실시 예들에서, 탄화수소 전구체는 에틸렌 (C2H2) 이다. 일부 실시 예들에서, 2 개 이상의 탄화수소 전구체들이 사용될 수도 있다.
일부 실시 예들에서, 불활성 가스는 사용된 모든 불활성 가스의 체적으로 적어도 약 50 % 또는 적어도 약 80 % 또는 적어도 약 95 %의 헬륨을 포함한다. 일부 실시 예들에서, 불활성 가스는 어떠한 다른 불활성 가스 없이 실질적으로 헬륨이다.
전구체 가스 체적 플로우 레이트들은 특정한 프로세스 챔버, 기판, 및 다른 프로세스 조건들에 종속된다. 단일 300 ㎜ 기판들에 사용될 수도 있는 체적 플로우 레이트들의 예들은 약 10 sccm 내지 약 1000 sccm의 아세틸렌 및 약 250 sccm 내지 약 5000 sccm의 헬륨이다. 일부 실시 예들에서, 아세틸렌의 플로우 레이트는 총 플로우 레이트의 약 1 % 내지 약 3 %이고, 헬륨은 총 플로우 레이트의 나머지를 포함한다. 일부 실시 예들에서, 체적 플로우는 약 15 sccm 내지 약 45 sccm의 C2H2, 및 약 1455 sccm 내지 약 1485 sccm의 헬륨이다. 일부 실시 예들에서, 체적 플로우는 약 18 sccm 내지 약 20 sccm의 C2H2, 및 약 1480 sccm 내지 약 1482 sccm의 헬륨이고, 모든 값들은 300 ㎜ 기판 당이다. 일부 실시 예들에서, 체적 플로우 레이트는 약 40 sccm 내지 약 45 sccm의 C2H2, 및 약 1455 sccm 내지 약 1460 sccm의 헬륨이다. 달리 특정되지 않으면, 본 명세서에 개시된 플로우 레이트들은 300 ㎜ 웨이퍼들에 대해 구성된 단일 스테이션 툴에 대한 것들이다. 플로우 레이트들은 일반적으로 스테이션들의 수 및 기판 면적으로 선형으로 스케일링된다 (scale).
본 명세서에 기술된 AHM 막 증착 방법들은 목표된 AHM 특성들을 획득하기 위해 임의의 적절한 프로세스 온도에서 수행될 수도 있고, 예들은 약 50 ℃ 내지 약 550 ℃ 범위이다. 일부 실시 예들에서, 프로세스 온도는 약 100 ℃ 내지 약 200 ℃이다. 일부 실시 예들에서, 프로세스 온도는 약 150 ℃ 내지 약 175 ℃이다. 프로세스 온도는 sp2 본드 대 sp3 본드 형성으로 인해 적어도 부분적으로 응력, 선택도, 및 투명도에 영향을 줄 수 있다. 고온들이 C-H 본드들의 쉬운 분해 (breakage) 및 수소의 후속 확산을 가능하게 하기 (enable) 때문에, 보다 고온들은 sp2 풍부 (rich) 비정질 탄소 네트워크 형성에 유리하다. 예를 들어, 약 500 ℃ 이상의 온도들에서 증착된 막들은 증가된 탄소 함량 및 보다 높은 밀도를 갖고 상승된 에칭 선택도와 상관되는, 상당히 보다 많은 sp2 CH 본드 및 CH2 본드 및 상대적으로 보다 적은 sp3 본드들을 가질 수도 있다. 그러나, 이들 sp2 풍부 막들은 두꺼운 하드 마스크 적용 예들에 적합하지 않을 수도 있다. 예를 들어, 2,000 Å 이상에서, 막들은 마스크 정렬을 위해 충분히 투명하지 않을 수도 있다. 633 ㎚ 레이저들이 투명한 막들 및 반투명한 막들에 사용될 수도 있지만, 고온들에서 생성되는 것과 같은 보다 불투명한 막들에는 사용되지 않는다. 전체가 참조로서 본 명세서에 앞서 인용된 미국 특허 번호 제 7,981,810 호는 낮은 온도들에서 그리고/또는 희석된 탄화수소 전구체 플로우들과 함께 선택적이고 투명한 AHM들의 증착을 위한 프로세스 조건들을 제공한다. 보다 저온들, 예를 들어, 약 400 ℃ 이하에서 증착된 AHM 막들은 보다 고온들에서 증착된 막들과 비교하여 보다 적은 sp2 본딩을 가질 수도 있다.
일부 실시 예들에서, LF RF 전력은 약 100 ㎑ 내지 약 2 ㎒의 주파수를 갖는 RF 전력을 참조한다. 일부 실시 예들에서, 펄싱 주파수는 LF 생성기의 동작 능력에 의해 제한될 수도 있다. 일부 실시 예들에서, LF RF 전력은 약 400 ㎑, 예를 들어 430 ㎑의 주파수를 갖는 RF 전력을 갖는다. 고 주파수 RF 전력은 약 2 ㎒ 내지 약 60 ㎒의 주파수를 갖는 RF 전력을 참조한다. 일부 실시 예들에서, HF RF 전력은 약 13.56 ㎒의 주파수를 갖는 RF 전력을 갖는다.
일부 실시 예들에서, HF RF 컴포넌트 및 LF RF 컴포넌트는 동기화된 방식으로 펄싱될 수 있다. HF 컴포넌트가 펄싱되면, 고 전력에서 저 전력으로 펄싱되고 플라즈마 시스 (sheath) 붕괴를 방지하도록 턴 오프되지 않는다. 일부 실시 예들에서, 펄싱만 하는 (pulsing only) LF RF 전력은 보다 안정한 플라즈마를 형성하기에 유리할 수도 있다.
일부 실시 예들에서, LF 전력은 HF 전력이 일정한 동안 펄싱된다. 다양한 실시 예들에서, LF 전력은 LF 전력 온 및 오프를 스위칭함으로써 펄싱된다. 일부 실시 예들에서, LF '온' 전력은 300 ㎜ 기판 당 적어도 3000 W이다. 일부 실시 예들에서, LF 온 전력은 300 ㎜ 기판 당 약 3500 W 내지 약 6500 W이다. 일부 실시 예들에서, LF '오프' 전력은 0 W이다. 다양한 실시 예들에서, LF 전력은 LF 오프 전력이 0 W와 LF 온 전력 사이에 있도록, 0이 아닌 전력 레벨들 사이에서 LF를 스위칭함으로써 펄싱된다. 일부 실시 예들에서, LF 전력은 약 1000 W 내지 약 6000 W에서 펄싱된다. 일부 실시 예들에서, 기판 범위들 당 HF 전력은 300 ㎜ 기판 당 약 0 W 내지 약 150 W이다. 일부 실시 예들에서, 기판 범위들 당 HF 전력은 약 0 W 내지 약 800 W이다. 많은 실시 예들에서, HF RF 컴포넌트의 최소 전력 및 LF RF 컴포넌트의 최소 전력은 플라즈마를 유지하기에 충분하다. 본 명세서에 제공된 모든 전력들은 300 ㎜ 기판 당이다. 본 명세서에 기술된 바와 같은 RF 전력은 일반적으로 스테이션들의 수 및 웨이퍼들의 면적으로 선형으로 스케일링된다. 전력 값들은 면적 당 기준으로 표시될 수도 있고, 예를 들어, 2500 W는 또한 0.884 W/cm2로 표시될 수도 있다.
LF 펄싱을 위한 듀티 사이클은 약 10 % 내지 약 90 %의 범위일 수도 있다. 일부 실시 예들에서, DC는 약 10 % 내지 약 50 %, 약 10 % 내지 약 30 %, 또는 약 10 % 내지 약 20 %이다. 일부 실시 예들에서, DC는 약 60 % 내지 약 90 %, 약 60 % 내지 약 90 %, 또는 약 60 % 내지 약 75 %이다. 다양한 실시 예들에서, LF 전력은 약 100 ㎐ 내지 약 1000 ㎐의 주파수로 펄싱된다. 일부 실시 예들에서, LF 전력은 적어도 약 200 ㎐, 또는 적어도 약 300 ㎐의 주파수로 펄싱된다. 일부 실시 예들에서, DC 및 펄스 주파수는 LF 전력 온 시간 지속 기간이 약 200 ㎲ 내지 약 2500 ㎲이고 LF 전력 오프 시간 지속 기간은 약 800 ㎲ 내지 약 7500 ㎲이도록 설정된다. 일부 실시 예들에서, LF 전력은 약 200 ㎲ 내지 약 300 ㎲의 지속 기간 동안 온 기간 (on period) 을 갖는다.
일부 실시 예들에서, 페데스탈과 샤워헤드 사이의 갭은 약 0.75 인치 (20 ㎜) 미만 또는 약 0.25 인치 (약 6 ㎜) 내지 약 0.75 인치 (약 20 ㎜) 이다. 플라즈마의 RF 전력이 상승함에 따라, 페데스탈과 샤워헤드 사이의 갭은 증착된 AHM의 품질을 감소시키지 않고 증가할 수도 있다.
본 명세서의 일부 프로세스들에서, AHM 막은 적어도 700 Å/분의 레이트로 증착된다. 일부 실시 예들에서, AHM 막은 약 700 Å/분과 약 900 Å/분의 레이트로 증착된다. LF 전력에 대한 보다 긴 평균 '온' 시간이 증착 레이트를 상승시킬 것이기 때문에, AHM 막의 증착 레이트는 DC에 종속될 수도 있다.
일부 실시 예들에서, AHM 막을 증착하기 위한 프로세스 조건들은 300 ㎜ 웨이퍼 당 적어도 약 3000 W, 약 10 % 내지 약 75 %의 듀티 사이클로 LF 전력을 펄싱하는 것, 및 실질적으로 헬륨인 불활성 가스를 포함한다. 일부 실시 예들에서, 프로세스 조건들은 300 ㎜ 웨이퍼 당 적어도 6000 W, 10 % 내지 75 %의 듀티 사이클로 LF 전력을 펄싱하는 것, 및 실질적으로 헬륨인 불활성 가스를 포함한다. 일부 실시 예들에서, 프로세스 조건들은 300 ㎜ 웨이퍼 당 적어도 약 3000 W, 10 % 내지 40 %의 듀티 사이클로 LF 전력을 펄싱하는 것, 및 실질적으로 헬륨인 불활성 가스를 포함한다.
막 특성들
개시된 방법들에 따라 생성된 AHM 막들은 통상적으로 주로 탄소 및 수소로 구성되지만, 다른 원소들이 막 내에 존재할 수도 있다. 일반적으로, 마스크 내의 수소의 원자% (atomic percent) 가 낮을수록, 모듈러스 및 선택도가 보다 높아진다. 일부 실시 예들에서, 다른 원소들이 가스 혼합물에 첨가될 수도 있고, 예를 들어, 할로겐화된 탄화수소가 사용되면, 할로겐은 백분율의 막 조성을 포함할 수도 있다. 일부 실시 예들에서, 수소 농도는 최대 약 25 원자%이다. 일부 실시 예들에서, 수소 농도는 약 24 내지 25 원자%이다. 일부 실시 예들에서, 탄소 농도는 적어도 약 70 원자%이다. 일부 실시 예들에서, 탄소 농도는 약 70 내지 76 원자%이다. AHM 막 내에 존재할 수도 있는 다른 원소들의 예들은 할로겐들, 질소, 황, 붕소, 산소, 텅스텐, 티타늄, 및 알루미늄을 포함한다. 통상적으로, 이러한 다른 원소들은 약 10 원자%보다 크지 않은 양으로 존재한다.
일부 실시 예들에서, 본 명세서에 기술된 방법들에 따라 생성된 AHM 막은 최대 약 -1400 MPa, 또는 약 -200 MPa 내지 약 -1400 MPa의 내부 응력 크기를 갖는다 (음의 내부 응력은 보다 낮은 값들이 보다 적은 내부 응력을 갖도록 압축 응력을 나타냄). 일부 실시 예들에서, AHM 막은 적어도 약 80 GPa, 또는 145 GPa 내지 160 GPa의 탄성 모듈러스를 갖는다. 일부 실시 예들에서, AHM 막은 적어도 약 9 GPa, 또는 약 15 GPa 내지 약 17 GPa의 경도를 갖는다. 일부 실시 예들에서, AHM 막은 적어도 약 1.5 g/cm3, 또는 약 1.8 g/cm3 내지 약 1.9 g/cm3의 밀도를 갖는다.
일부 실시 예들에서, 본 명세서에 기술된 방법들에 따라 생성된 AHM 막은 633 ㎚에서 최대 약 0.4의 흡광 계수를 갖는다. 흡광 계수는 AHM 막을 통해 이동하는 광의 능력, 또는 막의 투명도와 상관될 수도 있다. 일부 실시 예들에서, AHM 막들은 투명하거나 반투명하다. 충분히 낮은 값들의 흡광 계수가 없는 AHM 막들은 AHM 막을 에칭하기 위해 이후 에칭 프로세스에서 부가적인 동작들을 필요로 할 수도 있고, 이는 바람직하지 않다.
일부 실시 예들에서, 본 명세서에 개시된 방법들에 따라 증착된 AHM 막의 두께는 약 100 ㎚ 내지 약 2500 ㎚이다. 일반적으로, AHM 막의 목표된 두께는 에칭될 하부 층들의 두께 및 AHM의 에칭 선택도에 따라 가변될 수도 있고, 에칭될 보다 두꺼운 하부 층들은 보다 두꺼운 AHM을 필요로 한다. 상기 논의된 바와 같이, AHM 막들은 다양한 하부 재료들을 에칭하기 위해 사용되고, 재료 각각에 대해 상이한 에칭 선택도를 가질 수도 있다. AHM의 에칭 선택도는 재료의 에칭 레이트와 AHM의 에칭 레이트의 비로 나타낼 수 있고, 상이한 재료들 및 에칭 화학 물질들에 대해 가변될 수도 있다.
적용 예들
AHM들은 통상적으로 기판의 하나 이상의 하부 층들을 에칭함으로써 반도체 디바이스들의 피처들을 생성하기 위해 사용된다. AHM을 사용하여 에칭될 수도 있는 재료들은 실리콘 (단결정, 폴리실리콘, 또는 비정질 실리콘), 실리콘 옥사이드, 실리콘 나이트라이드, 및 텅스텐을 포함할 수도 있다. 일부 실시 예들에서, 복수의 층들이 스택되고 단일 AHM을 사용하여 에칭된다. 이러한 스택들의 예들은 실리콘의 층과 실리콘 옥사이드의 층, 및 텅스텐의 층과 실리콘 나이트라이드의 층을 포함한다. 일부 실시 예들에서, 스택은 단일 AHM을 사용하여 에칭되는 반복하는 층들을 포함한다. 이러한 반복하는 층들의 예들은 실리콘 옥사이드/폴리실리콘 (OPOP) 의 반복하는 층들을 포함한다. FEOL (Front End Of Line) 피처 및 BEOL (Back End Of Line) 피처는 본 명세서에 기술된 바와 같은 AHM을 사용하여 에칭될 수도 있다. 메모리 또는 로직 디바이스 피처들은 패터닝될 수도 있다. 메모리 디바이스들의 예들은: DRAM, NAND, 및 3D NAND를 포함한다.
예들
도 5 및 도 6은 AHM의 굴절률에 대한 펄싱 또는 연속파 조건들 하에 있을 때 LF 전력의 효과를 예시한다. 굴절률, 또는 RI (Refractive Index) 는 일반적으로 재료의 선택도에 대한 대용 (proxy) 으로 사용될 수 있고, 보다 높은 RI는 AHM의 보다 높은 선택도를 나타낸다. 연속파 전력은 LF 전력이 증착 동안 상대적으로 일정하게 홀딩되는 것이다.
도 5는 LF 전력의 함수로서 굴절률의 그래프이다. 라인 504는 CW LF 전력을 사용하여 증착된 AHM으로부터의 측정값들을 나타내지만, 라인 502는 본 명세서에 기술된 바와 같이 LF 전력을 펄싱함으로써 증착된 AHM으로부터의 측정값들을 나타낸다. 도 5는 전력이 상승함에 따라, 연속파 기법을 사용하여 증착된 AHM의 굴절률, 및 따라서 선택도가 감소한다는 것을 예시한다. 반대로, 펄싱된 LF 전력의 전력이 상승함에 따라, AHM의 굴절률, 또는 선택도가 상승한다. 따라서, LF 전력이 상승함에 따라, 연속파 기법은 보다 낮은 선택도의 AHM을 발생시킬 것이지만, 펄스 기법은 보다 높은 선택도의 AHM을 발생시킬 것이다.
도 6은 내부 응력의 함수로서 굴절률의 그래프이고, 음의 응력은 압축 응력이고, 그리고 보다 중립인 응력이 바람직하다. 라인 606은 굴절률, 또는 선택도가 상승함에 따라 내부 응력은 일반적으로 상승한다는 것을 예시하는, 일부 AHM에 대한 응력의 함수로서의 굴절률의 라인이다. 라인 604는 연속파 전력에 대해, LF 전력이 상승함에 따라 굴절률이 감소하지만, 내부 압축 응력은 상승한다는 것을 예시한다. 둘 모두 바람직하지 않고, 보다 낮은 LF 전력이 연속파 기법에 바람직하다는 것을 나타낸다. 반대로, 라인 602는 LF 전력이 펄싱된 LF 전력에 대해 상승함에 따라 굴절률 및 응력이 상승한다는 것을 예시한다. 그러나, 라인 602는 라인 606보다 가파르고, 펄싱된 LF 전력이 상승함에 따라 굴절률이 라인 606의 내부 응력보다 높은 레이트로 상승한다는 것을 나타낸다. 따라서, 상승하는 LF 전력이 응력을 증가시키지만, 응력의 증가는 선택도의 정상 증가보다 크게 상쇄된다.
이하의 표는 본 명세서에 개시된 일부 실시 예들에 따라 증착된 2 개의 상이한 막들에 대한 다양한 막 특성들을 제시한다. 3625 W 프로세스는 44 sccm의 C2H2 및 1466 sccm의 헬륨의 프로세스 가스에 기판을 노출시키는 동안 0 W와 3625 W 사이에서 LF 전력을 펄싱함으로써 AHM 막을 증착했다. 6000 W 프로세스는 18 sccm의 C2H2 및 1482 sccm의 헬륨의 프로세스 가스에 기판을 노출시키는 동안 0 W와 6000 W 사이에서 LF 전력을 펄싱함으로써 AHM 막을 증착했다. 범위 %NU는 증착의 불균일성의 측정값이다. H %는 수소 전방 산란 (forward scattering) 을 사용하여 측정된 바와 같이, AHM 내의 수소의 백분율이다. XRR 밀도는 x-선 반사율에 의해 측정될 때 밀도이다.
프로세스 증착 레이트 (Å/분) 633 ㎚에서 RI 응력 (MPa) 범위 %NU 경도 (MPa) 모듈러스 (MPa) H % (HFS) XRR 밀도 (g/cm 3 )
3625 W 872.2 2.307 -632.0 3.6 15.6 145.3 25 % 1.88
6000 W 764.0 2.334 -695.8 3.3 16.5 152.0 24 % 1.90
장치
실시 예들은 PECVD (Plasma Enhanced Chemical Vapor Deposition) 챔버에서 구현될 수 있다. 이러한 반응기는 많은 상이한 형태들을 취할 수도 있다. 다양한 실시 예들은 기존 반도체 프로세싱 장비와 호환 가능하다―특히, SequelTM 또는 VectorTM 반응기 챔버들과 같은 PECVD 반응기들은 Lam Research Corporation으로부터 입수 가능하다. 다양한 실시 예들은 멀티 스테이션 또는 단일 스테이션 툴에서 구현될 수도 있다. 특정한 실시 예들에서, 4-스테이션 증착 스킴 (scheme) 을 갖는 300 ㎜ Lam VectorTM 툴 또는 6-스테이션 증착 스킴을 갖는 200 ㎜ SequelTM 툴이 사용된다.
일반적으로, 장치는 각각이 하나 이상의 스테이션들을 포함하는 하나 이사의 챔버들 또는 반응기들을 포함할 것이다. 챔버들은 하나 이상의 웨이퍼들을 하우징할 것이고, 웨이퍼 프로세싱에 적합하다. 하나 이상의 챔버들은 회전, 진동, 또는 다른 교반을 방지함으로써 규정된 위치 또는 위치들에 웨이퍼를 유지한다. 일부 실시 예들에서, AHM 증착을 겪는 웨이퍼는 프로세스 동안 챔버 내의 일 스테이션으로부터 또 다른 스테이션으로 이송된다. 예를 들어, 다양한 실시 예들에 따라 2000 Å AHM 증착이 일 스테이션에서 전적으로 발생할 수도 있거나, 500 Å의 막이 4 개의 스테이션들 각각에서 증착될 수도 있다. 대안적으로, 총 막 두께의 임의의 다른 분율이 임의의 수의 스테이션들에서 증착될 수도 있다. 2 개 이상의 AHM이 증착되는 다양한 실시 예들에서, 2 개 이상의 스테이션들이 AHM 층 각각을 증착하도록 사용될 수도 있다. 프로세싱 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척, 및/또는 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다. 웨이퍼가 가열될 특정한 동작들을 위해, 장치는 가열 플레이트와 같은 가열기를 포함할 수도 있다.
도 7은 PECVD를 사용하여 재료를 증착하기 위해 사용될 수도 있는 프로세스 스테이션 (700) 의 실시 예를 도시한다. 간략함을 위해, 프로세스 스테이션 (700) 은 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (702) 를 갖는 단독 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (700) 이 공통 프로세스 툴 분위기에 포함될 수도 있다는 것이 인식될 것이다. 또한, 일부 실시 예들에서, 이하에 상세히 도시된 것들을 포함하는 프로세스 스테이션 (700) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 (programmatically) 조정될 수도 있다는 것이 인식될 것이다.
프로세스 스테이션 (700) 은 분배 샤워헤드 (706) 에 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (701) 과 유체로 연통한다. 반응 물질 전달 시스템 (701) 은 샤워헤드 (706) 로의 전달을 위한 프로세스 가스들을 블렌딩하고 (blending) 그리고/또는 컨디셔닝하기 (conditioning) 위해 혼합 용기 (704) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (710) 은 혼합 용기 (704) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 유사하게, 샤워헤드 유입구 밸브 (705) 가 샤워헤드 (706) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
예를 들어, 도 7의 실시 예는 혼합 용기 (704) 에 공급될 액체 반응 물질을 기화시키기 위한 기화 지점 (703) 을 포함한다. 일부 실시 예들에서, 기화 지점 (703) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 반응 물질 증기는 다운스트림 (downstream) 전달 관에서 응결될 수도 있다. 응결된 반응 물질로의 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 관을 막고, 밸브 동작을 방해하고, 기판들을 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 잔여 반응 물질을 제거하기 위해 전달 관을 스윕핑하고 (sweeping) 그리고/또는 배출시키는 것을 수반한다. 그러나, 전달 관을 스윕핑하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어서, 프로세스 스테이션 쓰루풋 (throughput) 을 저하시킨다. 따라서 일부 실시 예들에서, 기화 지점 (703) 의 다운스트림 전달 관은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (704) 가 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (703) 의 다운스트림 관은 혼합 용기 (704) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는, 상승하는 온도 프로파일을 갖는다.
일부 실시 예들에서, 반응 물질 액체는 액체 주입기로 기화될 수도 있다. 예를 들어, 액체 주입기는 혼합 용기의 캐리어 가스 스트림 업스트림 (upstream) 으로 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 고압으로부터 저압으로 액체를 플래싱함으로써 (flashing) 반응 물질을 기화시킬 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 관에서 후속하여 기화되는 분산된 마이크로액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빨리 기화될 수도 있어서, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다는 것이 인식될 것이다. 보다 빠른 기화는 기화 지점 (703) 으로부터 다운스트림 관의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (704) 에 직접 장착된다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (706) 에 직접 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (703) 의 액체 플로우 제어기 업스트림은 프로세스 스테이션 (700) 에 기화 및 전달을 위한 액체의 질량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC (Liquid Flow Controller) 는 LFC의 다운스트림에 위치된 MFM (Mass Flow Meter) 을 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과의 전기적 통신에서 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 피드백 제어를 사용하여 액체 플로우를 안정화하기 위해 1 초 이상이 소요될 수도 있다. 이는 액체 반응 물질을 도징하기 (dosing) 위한 시간을 연장시킬 수도 있다. 따라서 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭할 수도 있다. 일부 실시 예들에서, LFC는 LFC 및 PID 제어기의 감지 튜브를 디스에이블함으로써 (disable) 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
샤워헤드 (706) 가 기판 (712) 을 향해 프로세스 가스들을 분배한다. 도 7에 도시된 실시 예에서, 기판 (712) 이 샤워헤드 (706) 밑에 위치되고, 페데스탈 (708) 위에 놓인 것으로 도시된다. 샤워헤드 (706) 가 임의의 적합한 형상을 가질 수도 있고, 기판 (712) 에 프로세스 가스들을 분배하기 위한 임의의 적합한 수와 배열의 포트들을 가질 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 마이크로볼륨 (707) 이 샤워헤드 (706) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨보다 마이크로볼륨에서 ALD (Atomic Layer Deposition) 및/또는 CVD (Chemical Vapor Deposition) 프로세스를 수행하는 것은 반응 물질 노출 및 스윕핑 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간으로 감소시킬 수도 있고, 프로세스 가스들에 프로세스 스테이션 로봇들의 노출을 제한할 수도 있는, 등을 할 수도 있다. 예시적인 마이크로볼륨은 0.1 L와 2 L 사이의 체적들을 포함하지만, 이로 제한되지 않는다. 이 마이크로볼륨은 또한 생산성 쓰루풋에 영향을 준다. 사이클 당 증착 레이트가 강하하는 동안, 사이클 시간은 또한 동시에 감소한다. 특정한 경우들에서, 후자의 효과는 막의 미리 결정된 타깃 두께에 대해 모듈의 전체 쓰루풋을 개선하기에 충분히 드라마틱하다.
일부 실시 예들에서, 페데스탈 (708) 은 마이크로볼륨 (707) 에 기판 (712) 을 노출하기 위해 그리고/또는 마이크로볼륨 (707) 의 체적을 가변시키기 위해 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈 (phase) 에서, 페데스탈 (708) 은 기판 (712) 으로 하여금 페데스탈 (708) 상에 로딩되게 하도록 하강될 수도 있다. 증착 프로세스 페이즈 동안, 페데스탈 (708) 은 마이크로볼륨 (707) 내에 기판 (712) 을 위치시키도록 상승될 수도 있다. 일부 실시 예들에서, 마이크로볼륨 (707) 은 증착 프로세스 동안 고 플로우 임피던스 영역을 생성하기 위해 페데스탈 (708) 의 일부뿐만 아니라 기판 (712) 을 완전히 인클로징할 (enclose) 수도 있다.
선택 가능하게, 페데스탈 (708) 은 마이크로볼륨 (707) 내의 프로세스 압력, 반응 물질 농도, 등을 변조시키도록 증착 프로세스 부분들 동안 하강되고 그리고/또는 상승될 수도 있다. 증착 프로세스 동안 프로세스 챔버 바디 (702) 가 베이스 압력으로 남아 있는 일 시나리오에서, 페데스탈 (708) 을 하강시키는 것은 마이크로볼륨 (707) 으로 하여금 배출되게 할 수도 있다. 마이크로볼륨 대 프로세스 챔버 체적의 예시적인 비들은 1:700 내지 1:10의 체적 비들을 포함하지만, 이로 제한되지 않는다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
또 다른 시나리오에서, 페데스탈 (708) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 증착 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 가변되게 할 수도 있다. 증착 프로세스 페이즈의 결과에서, 페데스탈 (708) 은 페데스탈 (708) 로부터 기판 (712) 의 제거를 허용하기 위해 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이-조정 가능한 페데스탈로 지칭되지만, 일부 실시 예들에서, 샤워헤드 (706) 의 위치는 마이크로볼륨 (707) 을 가변시키기 위해 페데스탈 (708) 에 대해 조정될 수도 있다는 것이 인식될 것이다. 또한, 페데스탈 (708) 및/또는 샤워헤드 (706) 의 수직 위치가 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (708) 은 기판 (712) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 하나 이상의 이들 예시적인 조정들이 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
도 7에 도시된 실시 예를 다시 참조하면, 샤워헤드 (706) 및 페데스탈 (708) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (714) 및 매칭 네트워크 (716) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 하나 이상의 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (714) 및 매칭 네트워크 (716) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기 포함된다. 마찬가지로, RF 전력 공급부 (714) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (714) 는 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 50 ㎑ 내지 700 ㎑의 주파수들을 포함할 수도 있지만, 이로 제한되지 않는다. 예시적인 고 주파수 RF 주파수들은 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있지만, 이로 제한되지 않는다. 임의의 적합한 파라미터들이 표면 반응들에 대한 플라즈마 에너지를 제공하기 위해 개별적으로 또는 연속적으로 변조될 수도 있다는 것이 인식될 것이다. 일 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력 공급된 플라즈마들에 대해 기판 표면과의 이온 충격을 감소시키도록 간헐적으로 펄싱될 수도 있다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인-시츄 (in-situ) 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (Optical Emission Spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인-시츄 플라즈마 모니터들로부터의 측정값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위한 피드백 루프 (feedback loop) 에서 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있지만, 이로 제한되지 않는다.
일부 실시 예들에서, 플라즈마는 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 프로세스 페이즈를 위해 플라즈마 조건들을 설정하기 위한 인스트럭션들은 증착 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 증착 프로세스를 위한 모든 인스트럭션들이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 페이즈에 앞서 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 가스 및/또는 탄화수소 전구체 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 전력 설정점으로 플라즈마 생성기를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속 레시피 페이즈는 플라즈마 생성기를 인에이블하기 (enable) 위한 인스트럭션들 및 제 2 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들이 본 개시의 범위 내의 임의의 적합한 방식으로 더 분할되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (708) 은 가열기 (710) 를 통해 온도 제어될 수도 있다. 또한 일부 실시 예들에서, 프로세스 스테이션 (700) 을 증착하기 위한 압력 제어는 버터플라이 밸브 (718) 에 의해 제공된다. 도 7의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (718) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나 일부 실시 예들에서, 프로세스 스테이션 (700) 의 압력 제어는 또한 프로세스 스테이션 (700) 에 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
도 8은 둘 중 하나 또는 모두가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드 록 (802) 및 아웃바운드 로드 록 (804) 을 갖는 멀티 스테이션 프로세싱 툴 (800) 의 실시 예의 개략도를 도시한다. 대기압에서, 로봇 (806) 이 카세트로부터 포드 (pod) (808) 를 통해 로딩된 웨이퍼들을 대기 포트 (810) 를 통해 인바운드 로드 록 (802) 내로 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (802) 내의 페데스탈 (812) 상의 로봇 (806) 에 의해 배치되고, 대기 포트 (810) 는 폐쇄되고, 그리고 로드 록은 펌핑 다운된다. 인바운드 로드 록 (802) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (814) 내로 도입되기 전 로드 록 내의 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (802) 에서 또한 가열될 수도 있다. 다음으로, 프로세싱 챔버 (814) 로의 챔버 이송 포트 (816) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내에 웨이퍼를 배치한다. 도 8에 도시된 실시 예가 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (814) 는 도 8에 도시된 실시 예에서 1부터 4까지 번호가 붙여진 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 818로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각은 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 도시된 프로세싱 챔버 (814) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있지만, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 8은 또한 프로세싱 챔버 (814) 내의 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (890) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (890) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이로 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐러셀들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 8은 또한 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (850) 의 실시 예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 대용량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서 (852) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 는 프로세스 툴 (800) 의 모든 액티비티들을 제어한다. 시스템 제어기 (850) 는 대용량 저장 디바이스 (854) 내 저장되고, 메모리 디바이스 (856) 내로 로딩되고, 그리고 프로세서 (852) 상에서 실행되는 시스템 제어 소프트웨어 (858) 를 실행한다. 시스템 제어기 소프트웨어 (858) 는 타이밍, 가스들의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 퍼지 조건들과 타이밍, 웨이퍼 온도, RF 전력 레벨들, RF 주파수들, 기판, 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (800) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 개시된 방법들에 따른 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (858) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램이 기판을 페데스탈 (818) 상으로 로딩하고, 기판과 프로세스 툴 (800) 의 다른 부품 사이의 간격을 제어하기 위해 사용되는 프로세스 툴 컴포넌트들을 위한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 가스 조성 및 플로우 레이트들을 제어하기 위한, 그리고 선택 가능하게 프로세스 스테이션 내의 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 임의의 개시된 범위들 내의 가스 조성 및 플로우 레이트들을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 임의의 개시된 압력 범위들 내로 프로세스 스테이션들 내의 압력을 유지하기 위한 코드를 포함할 수도 있다.
가열기 제어 프로그램이 기판을 가열하도록 사용되는 가열 유닛으로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다. 가열기 제어 프로그램은 임의의 개시된 범위들 내로 기판의 온도를 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램이 예를 들어, 본 명세서에 개시된 임의의 RF 전력 레벨들을 사용하여 하나 이상의 프로세스 스테이션들의 프로세스 전극들에 인가된 RF 전력 레벨들 및 주파수들을 설정하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 또한 플라즈마 노출 각각의 지속 기간을 제어하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들, 주파수, 및 노출 시간과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 써모커플들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
임의의 적합한 챔버는 개시된 실시 예들을 구현하도록 사용될 수도 있다. 예시적인 증착 장치들은 California, Fremont 소재의 Lam Research Corp. 로부터 각각 입수 가능한 ALTUS® 제품군, VECTOR® 제품군, 및/또는 SPEED® 제품군으로부터의 장치, 또는 임의의 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함하지만, 이로 제한되지 않는다. 2 개 이상의 스테이션들이 동일한 기능들을 수행할 수도 있다. 유사하게, 2 개 이상의 스테이션들은 상이한 기능들을 수행할 수도 있다. 스테이션 각각은 목표된 바와 같은 특정한 기능/방법을 수행하도록 설계되고/구성될 수 있다.
도 9는 특정한 실시 예들에 따른 박막 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (900) 은 이송 모듈 (903) 을 포함한다. 이송 모듈 (903) 은 이들이 다양한 반응기 모듈들 사이로 이동되기 때문에 프로세싱되는 기판들의 오염 위험을 최소화하기 위한 세정되고 가압된 분위기를 제공한다. 이송 모듈 (903) 상에 장착된 것은 2 개의 멀티-스테이션 반응기들 (909 및 910) 이고, 각각은 특정한 실시 예들에 따른 ALD 및/또는 CVD를 수행할 수 있다. 반응기들 (909 및 910) 은 개시된 실시 예들에 따른 동작들을 순차적으로 또는 비순차적으로 수행할 수도 있는 복수의 스테이션들 (911, 913, 915, 및 917) 을 포함할 수도 있다. 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 분산 플레이트를 포함할 수도 있다.
또한 이송 모듈 (903) 상에 장착된 것은 개시된 방법들에 관해 기술된 플라즈마 또는 화학적 (비플라즈마) 사전 세정들, 또는 임의의 다른 프로세스들을 수행할 수 있는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (907) 일 수도 있다. 모듈 (907) 은 일부 경우들에서 예를 들어, 증착 프로세스를 위한 기판을 준비하기 위해 다양한 처리들에 사용될 수도 있다. 모듈 (907) 은 또한 에칭 또는 폴리싱 (polishing) 과 같은 다양한 다른 프로세스들을 수행하도록 설계되고/구성될 수도 있다. 시스템 (900) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는 하나 이상의 웨이퍼 소스 모듈들 (901) 을 포함한다. 대기 이송 챔버 (919) 내의 대기 로봇 (미도시) 가 소스 모듈들 (901) 로부터 로드 록들 (921) 로 웨이퍼들을 먼저 제거한다. 이송 모듈 (903) 내의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 가 로드 록들 (921) 로부터 이송 모듈 (903) 상에 장착된 모듈들로 그리고 모듈들 사이로 웨이퍼들을 이동시킨다.
다양한 실시 예들에서, 시스템 제어기 (929) 가 증착 동안 프로세스 조건들을 제어하도록 채용된다. 제어기 (929) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기 (929) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (929) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기 (929) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시 예들에서 채용될 수도 있다.
통상적으로 제어기 (929) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 그리고 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩되거나 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 “프로그래밍”에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들, ASICs (Applications Specific Integrated Circuits), 및 하드웨어로 구현된 특정한 알고리즘들을 갖는 다른 디바이스들의 하드 코딩된 로직을 포함하는 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스에서 게르마늄-함유 환원제 펄스들, 수소 플로우, 및 텅스텐-함유 전구체 펄스들, 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 관습적인 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 언어들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들 (tasks) 을 수행하기 위해 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은, 예를 들어 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도, 및 챔버 벽 온도와 같은 프로세스 조건들에 관한 것이다. 이들 파라미터들은 레시피의 형태로 사용자들에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (929) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (900) 의 아날로그 출력 연결부 및 디지털 출력 연결부에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 개시된 실시 예들에 따른 증착 프로세스들 (및 일부 경우들에서, 다른 프로세스들) 을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 가열기 제어 코드를 포함한다.
일부 구현 예들에서, 제어기 (929) 는, 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전에, 프로세싱 동안에, 그리고 프로세싱 후에 그들의 동작을 제어하기 위해 전자장치들과 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 “제어기”로서 지칭될 수도 있다. 제어기 (929) 는, 프로세싱 조건들 및/또는 시스템의 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 지칭될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 “클라우드” 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, 원격으로 위치한 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세하게 기술되었지만, 첨부된 청구항들의 범위 내에서 특정한 변경들 및 수정들이 실시될 수 있는 것이 명백할 것이다. 추가적인 개시는 일부 특정 실시 예들로 지향된 첨부된 샘플 청구항들의 방법으로 제공되지만, 제한되도록 의도된 것은 아니다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것에 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 세부사항들로 한정되지 않는다.

Claims (25)

  1. 애싱 가능한 하드 마스크 (Ashable Hard Mask; AHM) 막을 형성하는 방법에 있어서,
    실질적으로 어떠한 다른 불활성 가스 없이, 탄화수소 전구체 가스 및 헬륨 가스를 포함하는 프로세스 가스에 반도체 기판을 노출시키는 단계; 및
    플라즈마 강화된 화학적 기상 증착 (Plasma Enhanced Chemical Vapor Deposition; PECVD) 프로세스에 의해 상기 기판 상에 AHM 막을 증착하는 단계로서, 상기 PECVD 프로세스는,
    고 주파수 (High Frequency; HF) 컴포넌트 및 저 주파수 (Low Frequency; LF) 컴포넌트를 포함하는 듀얼 무선 주파수 (dual RF (Radio Frequency)) 플라즈마 소스에 의해 생성된 플라즈마를 점화하는 단계를 포함하고,
    상기 HF 전력은 증착 동안 일정하고, 그리고
    상기 LF 전력은 300 ㎜ 웨이퍼 당 적어도 약 3000 W 및 약 10 % 내지 약 75 %의 듀티 사이클로 펄싱되는, 상기 AHM 막 증착 단계를 포함하는, 애싱 가능한 하드 마스크 막 형성 방법.
  2. 제 1 항에 있어서,
    상기 탄화수소 전구체 가스는 최대 약 50 g/mol의 분자량을 갖는 화합물들을 포함하는, 애싱 가능한 하드 마스크 막 형성 방법.
  3. 제 1 항에 있어서,
    상기 탄화수소 전구체 가스는 적어도 0.5의 C:H 비를 갖는 화합물들을 포함하는, 애싱 가능한 하드 마스크 막 형성 방법.
  4. 제 1 항에 있어서,
    상기 탄화수소 전구체 가스는 아세틸렌 (C2H2) 을 포함하는, 애싱 가능한 하드 마스크 막 형성 방법.
  5. 제 1 항에 있어서,
    상기 탄화수소 전구체 가스는 상기 프로세스 가스의 약 1 내지 2 %의 분압을 갖는, 애싱 가능한 하드 마스크 막 형성 방법.
  6. 제 1 항에 있어서,
    상기 LF 전력은 약 2 ㎒ 이하의 주파수로 제공되는, 애싱 가능한 하드 마스크 막 형성 방법.
  7. 제 1 항에 있어서,
    상기 LF 전력은 300 ㎜ 웨이퍼 당 약 3500 W 내지 약 6500 W인, 애싱 가능한 하드 마스크 막 형성 방법.
  8. 제 1 항에 있어서,
    상기 LF 전력은 적어도 약 100 ㎐의 주파수로 펄싱되는, 애싱 가능한 하드 마스크 막 형성 방법.
  9. 제 1 항에 있어서,
    상기 LF 전력은 약 100 ㎐ 내지 약 1000 ㎐의 주파수로 펄싱되는, 애싱 가능한 하드 마스크 막 형성 방법.
  10. 제 1 항에 있어서,
    상기 LF 전력 듀티 사이클은 약 10 % 내지 약 50 %인, 애싱 가능한 하드 마스크 막 형성 방법.
  11. 제 1 항에 있어서,
    상기 LF 전력 듀티 사이클은 약 60 % 내지 약 90 %인, 애싱 가능한 하드 마스크 막 형성 방법.
  12. 제 1 항에 있어서,
    상기 LF 전력은 약 200 ㎳ 내지 약 300 ㎳의 지속 기간 동안 온 기간 (on period) 을 갖는, 애싱 가능한 하드 마스크 막 형성 방법.
  13. 제 1 항에 있어서,
    상기 방법은 멀티-스테이션 반응기에서 수행되는, 애싱 가능한 하드 마스크 막 형성 방법.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 AHM 막의 내부 응력은 최대 약 -1400 MPa인, 애싱 가능한 하드 마스크 막 형성 방법.
  15. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 AHM 막의 모듈러스 (modulus) 는 적어도 약 80 GPa인, 애싱 가능한 하드 마스크 막 형성 방법.
  16. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 AHM 막의 밀도는 적어도 약 1.5 g/cm3인, 애싱 가능한 하드 마스크 막 형성 방법.
  17. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 AHM 막의 수소 농도는 최대 약 25 원자%인, 애싱 가능한 하드 마스크 막 형성 방법.
  18. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 AHM 막의 두께는 최대 약 2500 ㎚인, 애싱 가능한 하드 마스크 막 형성 방법.
  19. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 페데스탈과 상기 샤워헤드 사이의 갭은 약 20 ㎜ 미만인, 애싱 가능한 하드 마스크 막 형성 방법.
  20. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 증착된 AHM 막을 패터닝하는 단계 및 상기 기판 내에 상기 AHM 막의 피처들을 규정하도록 상기 패터닝된 AHM 막을 에칭하는 단계를 더 포함하는, 애싱 가능한 하드 마스크 막 형성 방법.
  21. 제 20 항에 있어서,
    상기 AHM 막 하부 (underlying) 상기 기판의 층들을 에칭하는 단계를 더 포함하는, 애싱 가능한 하드 마스크 막 형성 방법.
  22. 애싱 가능한 하드 마스크 (AHM) 막을 형성하는 방법에 있어서,
    탄화수소 전구체 가스 및 불활성 가스를 포함하는 프로세스 가스에 반도체 기판을 노출시키는 단계; 및
    플라즈마 강화된 화학적 기상 증착 (PECVD) 프로세스에 의해 상기 기판 상에 AHM 막을 증착하는 단계로서, 상기 PECVD 프로세스는,
    고 주파수 (HF) 컴포넌트 및 저 주파수 (LF) 컴포넌트를 포함하는 듀얼 무선 주파수 (dual RF) 플라즈마 소스에 의해 생성된 플라즈마를 점화하는 단계를 포함하고,
    상기 HF 전력은 증착 동안 일정하고, 그리고
    상기 LF 전력은 300 ㎜ 웨이퍼 당 적어도 약 3000 W로 펄싱되고, 듀티 사이클 당 상기 LF 전력은 300 ㎳ 미만인, 애싱 가능한 하드 마스크 막 형성 방법.
  23. 제 22 항에 있어서,
    상기 LF 전력 듀티 사이클은 약 10 % 내지 약 50 %인, 애싱 가능한 하드 마스크 막 형성 방법.
  24. 제 22 항에 있어서,
    상기 LF 전력 온 시간 (on time) 은 200 ㎳ 내지 300 ㎳인, 애싱 가능한 하드 마스크 막 형성 방법.
  25. 제 22 항에 있어서,
    상기 LF 전력은 적어도 100 ㎐의 주파수로 펄싱되는, 애싱 가능한 하드 마스크 막 형성 방법.
KR1020217042951A 2019-05-29 2020-05-28 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들 KR20220002748A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962853950P 2019-05-29 2019-05-29
US62/853,950 2019-05-29
PCT/US2020/034971 WO2020243342A1 (en) 2019-05-29 2020-05-28 High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf

Publications (1)

Publication Number Publication Date
KR20220002748A true KR20220002748A (ko) 2022-01-06

Family

ID=73552275

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217042951A KR20220002748A (ko) 2019-05-29 2020-05-28 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들

Country Status (5)

Country Link
US (2) US11837441B2 (ko)
KR (1) KR20220002748A (ko)
CN (1) CN113891954A (ko)
TW (1) TW202113121A (ko)
WO (1) WO2020243342A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
KR20230121962A (ko) * 2020-12-18 2023-08-22 램 리써치 코포레이션 넓은 갭 전극 간격을 갖는 저압 조건들에서 고 선택도, 저 응력 및 저 수소 탄소 하드 마스크들

Family Cites Families (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2146448A (en) 1936-05-06 1939-02-07 Du Pont Acetylene solution
US2405693A (en) 1940-10-15 1946-08-13 Du Pont Acetylene solutions
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
JPH062682B2 (ja) 1985-07-18 1994-01-12 日合アセチレン株式会社 アセチレンの精製法およびそれに用いる装置
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
EP0386248B1 (en) 1988-07-04 1992-12-30 Japan Oxygen Co. Ltd. Condenser/evaporator
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
JPH05508266A (ja) * 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
GB2275364B (en) 1993-02-18 1996-10-16 Northern Telecom Ltd Semiconductor etching process
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
EP0680072B1 (en) 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
DE19826259A1 (de) 1997-06-16 1998-12-17 Bosch Gmbh Robert Verfahren und Einrichtung zum Vakuumbeschichten eines Substrates
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TWI246633B (en) 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
JP3568394B2 (ja) 1998-07-07 2004-09-22 独立行政法人 科学技術振興機構 低抵抗n型ダイヤモンドの合成法
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
TW471006B (en) 1999-03-26 2002-01-01 Japan Science & Tech Corp N-type semiconductor diamond and its fabrication method
JP4789035B2 (ja) 1999-03-26 2011-10-05 独立行政法人科学技術振興機構 n型ダイヤモンドを用いた半導体デバイス
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6716758B1 (en) 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6562190B1 (en) 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
JP4791636B2 (ja) 2001-01-15 2011-10-12 日華化学株式会社 ハイブリッドパルスプラズマ蒸着装置
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
EP1514679A4 (en) 2002-05-09 2008-02-20 Riken MATTE THIN FILM RIAU AND ASSOCIATE PR PARATION PROC D
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
JP4015510B2 (ja) 2002-09-09 2007-11-28 日本エー・エス・エム株式会社 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
JP2004238649A (ja) 2003-02-04 2004-08-26 National Institute Of Advanced Industrial & Technology 炭素系膜被覆部材の製造方法及び装置
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP4896729B2 (ja) 2003-11-13 2012-03-14 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 保護障壁積層を有する電子デバイス
JP4725085B2 (ja) 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4494824B2 (ja) 2004-02-24 2010-06-30 株式会社クラレ 表示装置用フィルムの製造方法
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
KR100704470B1 (ko) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
WO2006073871A1 (en) 2004-12-30 2006-07-13 Applied Materials, Inc. Line edge roughness reduction compatible with trimming
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
US7638058B2 (en) 2005-04-07 2009-12-29 Matheson Tri-Gas Fluid storage and purification method and system
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP5319868B2 (ja) 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) * 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US20070134917A1 (en) 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Partial-via-first dual-damascene process with tri-layer resist approach
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) * 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US20070245960A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7790047B2 (en) 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US7981810B1 (en) * 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7981777B1 (en) * 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP5156445B2 (ja) 2008-03-21 2013-03-06 岩谷瓦斯株式会社 アセチレン吸蔵材料とアセチレン吸蔵容器及び高純度アセチレンの供給装置並びに高純度アセチレンの精製装置
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8357264B2 (en) 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) * 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7772122B2 (en) 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
EP2384361B1 (en) 2009-01-30 2018-05-30 Ecolab INC. Development of an aluminum hydroxycarboxylate builder
US7637269B1 (en) 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
US8338205B2 (en) 2009-08-31 2012-12-25 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method of fabricating and encapsulating MEMS devices
JP5675078B2 (ja) 2009-10-13 2015-02-25 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
JP2013526061A (ja) 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド スタック欠陥率を改善するアモルファスカーボン堆積法
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
WO2012050065A1 (ja) 2010-10-14 2012-04-19 日産化学工業株式会社 単分子層又は多分子層形成用組成物
US9155418B2 (en) 2010-11-15 2015-10-13 Conair Corporation Brewed beverage appliance and method
US8541311B2 (en) 2010-12-22 2013-09-24 GlobalFoundries, Inc. Integrated circuit fabrication methods utilizing embedded hardmask layers for high resolution patterning
EP2525416A2 (en) 2011-05-17 2012-11-21 Intevac, Inc. Method for rear point contact fabrication for solar cells
US8778207B2 (en) 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
DE102012011204A1 (de) 2012-06-06 2013-12-12 Aquis Wasser-Luft-Systeme Gmbh, Lindau, Zweigniederlassung Rebstein Reinigerkapsel
US20130333616A1 (en) 2012-06-18 2013-12-19 Tel Solar Ag Plasma processing system with movable chamber housing parts
JP2012233259A (ja) 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US20150247238A1 (en) * 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9865459B2 (en) * 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
WO2018048925A1 (en) 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
US20180286707A1 (en) * 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
CN117524848A (zh) 2017-06-08 2024-02-06 应用材料公司 用于硬掩模及其他图案化应用的高密度低温碳膜
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
SG11202009289PA (en) 2018-05-03 2020-11-27 Applied Materials Inc Pulsed plasma (dc/rf) deposition of high quality c films for patterning
WO2020197866A1 (en) * 2019-03-25 2020-10-01 Lam Research Corporation High etch selectivity, low stress ashable carbon hard mask
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US20220282366A1 (en) 2019-08-30 2022-09-08 Lam Research Corporation High density, modulus, and hardness amorphous carbon films at low pressure
EP4118064A4 (en) 2020-03-13 2024-05-01 Lam Res Corp STABILIZATION OF CARBON DEPOSITION PRECURSORS SUCH AS ACETYLENE.

Also Published As

Publication number Publication date
US20220216037A1 (en) 2022-07-07
WO2020243342A1 (en) 2020-12-03
US11837441B2 (en) 2023-12-05
TW202113121A (zh) 2021-04-01
CN113891954A (zh) 2022-01-04
US20240136153A1 (en) 2024-04-25

Similar Documents

Publication Publication Date Title
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
US10903071B2 (en) Selective deposition of silicon oxide
KR102535093B1 (ko) 알루미늄 옥사이드 에칭 정지층들의 증착
US20200118809A1 (en) Selective deposition with atomic layer etch reset
KR20210021503A (ko) 갭충진을 위한 컨포멀 막 증착
US20240136153A1 (en) Depositing a carbon hardmask by high power pulsed low frequency rf
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US20230227970A1 (en) Removal of tin oxide in chamber cleaning
KR20200127261A (ko) 탄소 막들의 원자 층 증착
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
US20240030028A1 (en) High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
US20230066676A1 (en) Core removal
WO2023196846A1 (en) Hydrogen reduction in amorphous carbon films
KR20220148249A (ko) EUV 패터닝의 결함 감소를 위한 다층 하드마스크 (multi-layer hardmask)