KR102439391B1 - 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들 - Google Patents

반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들 Download PDF

Info

Publication number
KR102439391B1
KR102439391B1 KR1020200034960A KR20200034960A KR102439391B1 KR 102439391 B1 KR102439391 B1 KR 102439391B1 KR 1020200034960 A KR1020200034960 A KR 1020200034960A KR 20200034960 A KR20200034960 A KR 20200034960A KR 102439391 B1 KR102439391 B1 KR 102439391B1
Authority
KR
South Korea
Prior art keywords
tin
sno
layer
containing precursor
semiconductor substrate
Prior art date
Application number
KR1020200034960A
Other languages
English (en)
Other versions
KR20200034699A (ko
Inventor
데이비드 찰스 스미스
리처드 와이즈
아르판 마호로왈라
클림풋 패트릭 에이. 반
슈라벤디즈크 바트 제이. 반
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200034699A publication Critical patent/KR20200034699A/ko
Application granted granted Critical
Publication of KR102439391B1 publication Critical patent/KR102439391B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Hall/Mr Elements (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

박형 주석 옥사이드 막들이 반도체 디바이스 제조시 스페이서들로서 사용된다. 일 구현예에서, 박형 주석 옥사이드 막은 제 1 재료 (예를 들어, 실리콘 옥사이드 또는 실리콘 나이트라이드) 의 노출된 층 및 제 2 재료 (예를 들어, 실리콘 또는 탄소) 를 포함하는 복수의 돌출된 피처들을 갖는 반도체 기판 상에 컨포멀하게 (conformally) 디포짓된다. 예를 들어, 10 내지 100 ㎚ 두께의 주석 옥사이드 층이 ALD (atomic layer deposition) 를 사용하여 디포짓될 수 있다. 다음에, 주석 옥사이드 막은 돌출하는 피처들의 측벽들로부터 완전히 제거되지 않고, 수평 표면들로부터 제거된다. 다음에, 돌출하는 피처들의 재료는 기판 상에 주석 옥사이드 스페이서들을 남기면서 에칭된다. 이어서 스페이서들의 제거 없이, 보호되지 않은 제 1 재료의 부분들이 에칭된다. 다음에, 아래에 놓인 층이 에칭되고, 스페이서들이 제거된다. 주석 함유 파티클들을 휘발성 주석 하이드라이드로 변환함으로써 프로세싱 챔버들로부터 주석 함유 파티클들이 제거될 수 있다.

Description

반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들{TIN OXIDE THIN FILM SPACERS IN SEMICONDUCTOR DEVICE MANUFACTURING}
본 발명은 반도체 디바이스 제작시 패터닝 방법들에 관련된다. 구체적으로, 본 발명은 반도체 프로세싱시 스페이서들로서 박형 주석 옥사이드 막들을 사용하는 방법들에 관련된다.
IC (integrated circuit) 제조시, 디포지션 기법 및 에칭 기법은 유전체 층들 내에 임베딩된 금속 라인들을 형성하기 위한 것과 같은, 재료들의 패턴들을 형성하기 위해 사용된다. 일부 패터닝 스킴들은 정밀한 패터닝 및 소형 (small-scale) 피처들의 형성을 인에이블하는 스페이서들의 사용을 수반한다. 스페이서들이 규정된 (통상적으로 이전 패터닝에 의해 결정된) 거리들만큼 분리되도록, 스페이서들이 기판 상에 형성되고 아래에 놓인 층들의 패터닝을 위한 마스크들로서 사용된다. 스페이서들 및 둘러싸는 층들의 재료들은 스페이서들의 형성 및 아래에 놓인 층들의 패터닝 양자를 인에이블할 적절한 에칭 선택도를 갖도록 선택된다. 패터닝이 완료된 후, 스페이서들은 에칭에 의해 제거되고, 최종적으로 제조된 반도체 디바이스의 일부가 아니다.
스페이서들은 DRAM (dynamic random-access memory) 의 형성, finFETs (fin field effect transistors) 내에 핀들 (fins) 의 패터닝, 및 BEOL (back end of line) 프로세싱을 포함하는 다양한 애플리케이션들에서 패터닝을 위해 사용된다.
실리콘 옥사이드, 또는 티타늄 옥사이드와 같은 많은 스페이서 재료들이 패터닝 동안 피치 워킹 (pitch walking) 및/또는 입자 오염 문제들의 증가를 제공한다는 것을 발견하였다. 예를 들어, 실리콘 옥사이드는 보다 두꺼운 스페이서들의 사용을 필요하게 하는, 반도체 프로세싱에 공통으로 사용된 많은 재료들에 비해 상대적으로 낮은 에칭 선택도를 특징으로 한다. 이는, 결국, 가로질러 일관되지 않은 측방향 스페이서 측벽 소모를 야기하고, 궁극적으로 피치 워킹 (스페이서들 간의 일관되지 않은 거리) 을 발생시킬 수도 있다. 티타늄 옥사이드가 스페이서 재료로서 사용될 때, 에칭 선택도는 적절할 수 있지만, 티타늄 함유 입자들은 프로세스 챔버들을 오염시킬 수도 있다. 예를 들어, 티타늄 플루오라이드 입자들은 플루오로카본 플라즈마 에칭 후에 에칭 챔버들을 오염시킬 수 있다. 이는 빈번한 에칭 챔버 세정 필요성 및 감소된 생산성을 야기한다.
이들 문제들은 본 명세서에서 스페이서 재료로서 주석 옥사이드를 사용함으로써 처리된다. 주석 옥사이드는 피치 워킹 및 에지 거칠기를 감소시키도록 필요한 우수한 에칭 선택도와 상관되는 높은 모듈러스 (modulus) 를 갖는다. 게다가, 티타늄과 달리, 주석은 프로세스 챔버들로부터 용이하게 제거될 수 있는, 매우 휘발성 하이드라이드를 형성한다. 따라서, 일부 실시예들에서, 임의의 주석 함유 재료들 (예컨대 주석 플루오라이드) 을 주석 하이드라이드 (예를 들어, 수소 함유 프로세스 가스에서 플라즈마 처리에 의해) 로 변환하는 단계 및 형성된 휘발성 주석 하이드라이드를 프로세스 챔버로부터 퍼지 및/또는 배기를 통해 제거하는 단계를 수반하는 프로세싱 방법들이 제공된다. 챔버 내부로부터 주석 함유 입자들을 제거하는 세정 프로세스는 에칭 챔버 또는 디포지션 챔버들에서, 통상적으로 기판의 부재시 수행될 수 있다.
본 발명의 일 양태에서, 반도체 기판을 프로세싱하는 방법이 제공된다. 방법은, 제 1 재료를 포함하는 노출된 층 및 상기 제 1 재료와 상이한 제 2 재료를 포함하는 적어도 하나의 돌출하는 피처를 갖는 반도체 기판을 제공하는 단계; 및 상기 적어도 하나의 돌출하는 피처의 측벽들을 포함하는, 상기 제 1 재료 및 상기 제 2 재료 양자 위에 SnO 층을 디포짓하는 단계를 포함한다. SnO의 에칭 레이트에 대한 상기 제 1 재료의 에칭 레이트의 비는 제 1 에칭 화학반응에 대해 1보다 크고, SnO의 에칭 레이트에 대한 상기 제 2 재료의 에칭 레이트의 비는 제 2 에칭 화학반응에 대해 1보다 크도록, 상기 제 1 재료 및 상기 제 2 재료가 선택된다. 예를 들어, 일부 실시예들에서, 제 1 재료는 실리콘 옥사이드 및/또는 실리콘 나이트라이드이고, 그리고 제 1 에칭 화학반응은 플루오로카본 플라즈마 에칭이다. 제 2 재료는, 일부 실시예들에서, 비정질 실리콘 및/또는 탄소를 포함하고, 그리고 제 2 에칭 화학반응은 산화성 산소 함유 화학반응이다 (예를 들어, HBr 및 O2를 포함하는 프로세스 가스에서 플라즈마 처리).
일부 구현예들에서, 상기 반도체 기판은 상기 제 2 재료를 포함하는 복수의 돌출하는 피처들을 포함하고, SnO의 디포지션 전에 가장 가까운 돌출하는 피처들 간의 거리는 약 10 내지 100 ㎚이다. 일부 구현예들에서, 가장 가까운 돌출하는 피처들 간의 거리는 약 40 내지 100 ㎚이다. 다른 구현예들에서, 가장 가까운 돌출하는 피처들 간의 거리는 약 10 내지 30 ㎚이다. 일부 실시예들에서, SnO 층은 예를 들어, ALD (atomic layer deposition) 에 의해 약 5 내지 30 ㎚의 두께, 예컨대 약 10 내지 20 ㎚의 두께로 컨포멀하게 (conformally) 디포짓된다.
SnO 층이 디포짓된 후, 스페이서들이 SnO 층으로부터 형성된다. 일부 실시예들에서, 스페이서들의 형성은: SnO 층을 디포짓한 후, 적어도 하나의 돌출부의 측벽들을 커버하는 SnO 층을 완전히 제거하지 않고 반도체 기판의 수평 표면들로부터 SnO 층을 완전히 제거하는 단계를 수반한다. 이어서 적어도 하나의 돌출부의 측벽들을 커버하는 SnO 층을 완전히 제거하지 않고 제 2 에칭 화학반응을 사용하여 적어도 하나의 돌출부를 완전히 제거하여, SnO 스페이서들을 형성한다.
SnO 스페이서들이 형성된 후, 프로세스는 SnO 스페이서들을 완전히 제거하지 않고, 제 1 에칭 화학반응을 사용하여 (예를 들어, 플라즈마 플루오로카본 에칭을 사용하여) 제 1 재료의 노출된 부분들을 제거하여, 제 1 재료 층 아래에 놓인 하드마스크 층의 부분들을 노출하는 단계에 의해 계속될 수 있다. 프로세스는 이어서 SnO 층 아래에 놓인 제 1 재료의 층을 완전히 제거하지 않고 SnO 층 및 하드마스크 층의 노출된 부분들 양자를 제거할 수도 있다.
일부 실시예들에서, 본 명세서에 제공된 반도체 프로세싱 방법들은 본 명세서에 제공된 임의의 디포지션 동작 및 에칭 동작 후에, 프로세스 챔버 내에 남아 있는 주석 함유 입자들주석 하이드라이드로 변환하는 단계를 수반한다. 이 변환은 수소 함유 가스를 포함하는 프로세스 가스에서 형성된 플라즈마에 프로세스 챔버를 노출함으로써 수행된다. 일부 실시예들에서, 수소 함유 가스는 H2 및/또는 NH3이다. 일부 실시예들에서, 에칭 챔버는 플루오로카본 플라즈마 에칭 후에 주석 함유 입자들 (예를 들어, 주석 플루오라이드) 을 주석 하이드라이드로 변환함으로써, 그리고 에칭 챔버로부터 휘발성 주석 하이드라이드를 제거함으로써 세정된다.
일부 실시예들에서, 본 명세서에 제공된 방법들은 포토리소그래피 프로세싱과 조합하여 사용되고, 상기 반도체 기판에 포토레지스트를 도포하는 단계; 상기 포토레지스트를 광에 노출하는 단계; 상기 포토레지스트를 패터닝하고 상기 패턴을 상기 반도체 기판으로 전사하는 단계; 및 상기 포토레지스트를 상기 반도체 기판으로부터 선택적으로 제거하는 단계를 포함한다. 예를 들어, 리소그래피는 기판 상에 SnO 층의 디포지션 전에 돌출하는 피처들의 패턴을 형성하도록 사용될 수 있다.
또 다른 양태에서, 부분적으로 제조된 반도체 디바이스가 제공되고, 디바이스는 제 1 재료 (예를 들어, 실리콘 옥사이드 또는 실리콘 나이트라이드) 의 노출된 층 및 제 1 재료의 층 상에 놓인 복수의 SnO 스페이서들을 포함한다. 일부 실시예들에서, 스페이서들 간의 거리는 약 5 내지 90 ㎚이다.
또 다른 양태에 따라, SnO 층의 디포지션을 위한 장치가 제공된다. 장치는 디포지션 동안 기판을 제자리에 홀딩하기 위해 구성된 기판 홀더를 갖는 프로세스 챔버, 및 반응물질들의 도입을 위한 유입부들을 포함한다. 장치는 본 명세서에 제공된 방법들에 따라 SnO 층을 디포짓하기 위한 프로그램 인스트럭션들을 포함하는 제어기를 더 포함한다.
또 다른 양태에 따라, SnO 스페이서들의 사용으로 반도체 기판을 프로세싱하는 시스템이 제공된다. 시스템은 하나 이상의 디포지션 프로세스 챔버들 및 하나 이상의 에칭 프로세스 챔버들, 및 본 명세서에 제공된 방법들에 따라 반도체 기판을 프로세싱하기 위한 프로그램 인스트럭션들을 포함하는 제어기를 포함한다.
또 다른 양태에 따라, 본 명세서에 제공된 임의의 장치들 또는 시스템들, 및 스텝퍼를 포함하는 시스템이 본 명세서에 제공된다.
또 다른 양태에 따라, 비일시적인 컴퓨터 머신-판독가능 매체가 제공되고, 보노 명세서에 제공된 임의의 장치들 또는 시스템들의 제어를 위한 프로그램 인스트럭션들을 포함한다. 인스트럭션들은 본 명세서에 제공된 프로세싱 방법들을 포함한다.
본 명세서에 기술된 주제의 구현예들의 이들 및 다른 양태들은 첨부된 도면들 및 이하의 기술에 언급된다.
도 1 내지 도 6은 본 명세서에 기술된 실시예에 따른 프로세싱을 겪는 반도체 기판의 개략적인 단면도들을 도시한다.
도 7은 본 명세서에 제공된 실시예에 따른 프로세싱 방법을 위한 프로세스 흐름도이다.
도 8은 본 명세서에 제공된 실시예에 따른 프로세싱 방법을 위한 프로세스 흐름도이다.
도 9는 본 명세서에 제공된 실시예에 따른 SnO 층의 디포지션에 적합한, PEALD (plasma enhanced atomic layer deposition) 의 개략적인 표현이다.
도 10은 본 명세서에 제공된 실시예에 따른 멀티-스테이션 프로세싱 툴의 개략도를 도시한다.
도 11은 본 명세서에 제공된 실시예에 따른 박막들을 디포지션 및 후처리하기 위해 구성된 프로세싱 툴의 블록도이다.
이하의 상세한 기술에서, 다수의 구체적인 구현예들이 개시된 구현예들의 전체적인 이해를 제공하기 위해 언급된다. 그러나, 개시된 구현예들이 이들 구체적인 상세들 없이 또는 대안적인 엘리먼트들 또는 프로세스들을 사용함으로써 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 공지의 프로세스들, 절차들, 및 컴포넌트들은 개시된 구현예들의 양태들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
본 출원에서, 용어들 "반도체 기판", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위에서의 집적 회로 제조의 많은 단계들 중 임의의 많은 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 또한, 용어 "반도체 기판"은 기판 내 어디든 반도체 재료를 함유하는 기판을 지칭하고, 다른 재료들의 층들을 포함할 수도 있다. 이하의 상세한 설명은 개시된 구현예들이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 개시된 구현예들은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 부가하여, 개시된 구현예들의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 등과 같은 다양한 물품들을 포함한다.
주석 옥사이드 (SnO) 스페이서들을 사용하여 반도체 기판을 프로세싱하기 위한 방법들이 본 명세서에 제공된다. 주석 옥사이드 (또한 본 명세서에서 SnO로 지칭됨) 는 본 명세서에서 사용될 때, 주석 (Sn) 및 산소 (O) 를 포함하는 재료들을 지칭하고, 선택가능하게 수소를 포함할 수도 있다. 주석 옥사이드 (SnO) 는 본 명세서에서 사용될 때, 소량의 다른 원소들, 예컨대 탄소, 및 질소를 더 포함할 수도 있고, 이 다른 원소들의 총량은 10 atomic % 이하이다 (수소는 함량 계산에 포함되지 않음). 예를 들어 ALD-디포짓된 SnO는 약 0.5 내지 5 atomic %의 탄소를 함유할 수 있다. 용어 "SnO" 는 본 명세서에서 사용될 때, 가변할 수도 있는 옥사이드의 화학량론을 나타내지 않는다. 일부 구체적인 실시예들에서, SnO의 화학량론은 2 개의 산소 원자들 당 약 1 개의 주석 원자이다.
실리콘 (Si), 탄소 (C), 실리콘 옥사이드 (SiO2), 및 실리콘 나이트라이드 (SiN) 와 같은, 본 명세서에 논의된 다른 재료들이 선택가능하게 수소를 포함할 수도 있다는 것이 이해된다. 다른 원소들은 이들 재료들에 소량으로, 예컨대 10 atomic % 이하 (수소 제외) 의 다른 원소들의 결합된 함량으로 존재할 수 있다. 예를 들어, 용어 "실리콘 옥사이드"는 탄소-도핑된 실리콘 옥사이드, 및 실리콘 옥사이드의 다른 도핑된 형태들을 포함한다.
주석 옥사이드 스페이서들의 사용은, 프로세싱의 상이한 단계들에서 반도체 기판의 개략적인 단면도들을 제공하는, 도 1 내지 도 6을 참조하여 예시된다. 도 7 및 도 8은 반도체 기판 프로세싱 방법들을 위한 프로세스 흐름도를 제공한다.
도 7을 참조하면, 프로세스는 제 1 재료의 노출된 층 및 제 2 재료를 포함하는 적어도 하나의 돌출하는 피처를 갖는 기판을 제공함으로써 701에서 시작된다. 제 1 재료의 층은 ESL (etch stop layer) 로 지칭되고, 돌출하는 피처는 맨드릴 (mandrel) 로 지칭된다. 예시적인 기판은, ESL (103) 상에 놓인 2 개의 맨드릴들 (101) 을 도시하는 도 1에 도시된다. 일부 실시예들에서, 이웃하는 맨드릴들 간의 거리 d1은 약 10 내지 100 ㎚이다. 일부 실시예들에서, 약 40 내지 100 ㎚의 상대적으로 보다 큰 거리들이 사용된다. 다른 애플리케이션들에서, 가장 가까운 맨드릴들 간의 거리는 약 10 내지 30 ㎚이다. 또한 피치로 지칭되는, 가장 가까운 맨드릴들의 중심들 간의 거리, d2는 일부 실시예들에서, 약 30 내지 130 ㎚이다. 일부 실시예들에서, 피치는 약 80 내지 130 ㎚이다. 다른 실시예들에서, 피치는 약 30 내지 40 ㎚이다. 맨드릴들의 높이 d3은 통상적으로 약 20 내지 200 ㎚, 예컨대 약 50 내지 100 ㎚이다.
맨드릴의 재료 및 ESL의 재료는 노출된 주석 옥사이드의 존재시 맨드릴 재료의 후속하는 선택적인 에칭 및 노출된 주석 옥사이드의 존재시 ESL 재료의 선택적인 에칭을 허용하도록 선택된다. 따라서, 주석 옥사이드의 에칭 레이트에 대한 ESL 재료의 에칭 레이트의 비는 제 1 에칭 화학반응에 대해 1보다 크고, 보다 바람직하게, 약 1.5보다 크고, 예컨대 약 2보다 크다. 유사하게, 주석 옥사이드의 에칭 레이트에 대한 맨드릴 재료의 에칭 레이트의 비는 제 2 에칭 화학반응에 대해 1보다 크고, 보다 바람직하게, 약 1.5보다 크고, 예컨대 약 2보다 크다.
일부 실시예들에서, ESL 재료는 실리콘 옥사이드 기반 재료, 실리콘 나이트라이드, 및 이들의 조합들로 구성된 그룹으로부터 선택되는 한편, 맨드릴 재료는 (도핑되거나 도핑되지 않은) 비정질 실리콘 또는 (도핑되거나 도핑되지 않은) 탄소이다. 실리콘 및 탄소에 대해 사용되는 도펀트들의 예들은 제한없이 N, S, B 및 W를 포함한다. ESL 층 및 맨드릴들은 PVD (physical vapor deposition), CVD (chemical vapor deposition), ALD (플라즈마를 사용하지 않고 또는 PEALD에 의해) 또는 PECVD (plasma enhanced chemical vapor deposition) 및 맨드릴들의 패턴이 포토리소그래픽 기법들을 사용하여 형성될 수 있다.
도 1에 도시된 기판을 다시 참조하면, ESL 층 (103) 은 타깃 층 (105) 위에 그리고 콘택트하여 놓인다. 타깃 층 (105) 은 패터닝되어야 하는 층이다. 타깃 층 (105) 은 반도체, 유전체 또는 다른 층일 수도 있고, 예를 들어 실리콘 (Si), 실리콘 옥사이드 (SiO2), 실리콘 나이트라이드 (SiN), 또는 티타늄 나이트라이드 (TiN) 로 이루어질 수도 있다. 일부 실시예들에서, 타깃 층은 하드마스크 층으로 지칭되고, 금속 나이트라이드, 예컨대 티타늄 나이트라이드를 포함한다. 타깃 층 (105) 은 ALD (플라즈마를 사용하지 않고 또는 PEALD에 의해), CVD, 또는 다른 적합한 디포지션 기법에 의해 디포짓될 수도 있다.
타깃 층 (105) 은, 유전체 재료의 층 내로 임베딩된 복수의 금속 라인들을 포함하는, 일부 실시예들에서, BEOL 층인, 층 (107) 위에 그리고 콘택트하여 놓인다.
도 7을 다시 참조하면, 프로세스는 제 1 재료 및 제 2 재료 양자 위에 SnO 층을 디포짓하는 703으로 이어진다. 도 2에 도시된 구조체를 참조하면, SnO 층 (109) 은, ESL (103) 위, 그리고 맨드릴들의 측벽들을 포함하여 맨드릴들 (101) 위에 디포짓된다. SnO 층은 임의의 적합한 방법에 의해, 예컨대 CVD (PECVD를 포함), ALD (PEALD를 포함), 스퍼터링, 등에 의해 디포짓된다. 일부 실시예들에서, 도 2에 도시된 바와 같이, 층 (103) 및 맨드릴들 (101) 의 표면을 따르도록, 도 2에 도시된 바와 같이, SnO 막을 컨포멀하게 디포짓하는 것이 바람직하다. 일부 실시예들에서, SnO 층은 약 5 내지 30 ㎚, 예컨대 약 10 내지 20 ㎚의 두께로 컨포멀하게 디포짓된다. 컨포멀한 SnO 막의 적합한 디포지션 방법들 중 하나는 ALD이다. 열적 ALD 또는 PEALD가 사용될 수 있다. 통상적인 열적 ALD 방법에서, 기판이 ALD 프로세스 챔버로 제공되고, 후속하여 주석 함유 전구체, 및 산소 함유 반응물질에 노출되고, 주석 함유 전구체 및 산소 함유 반응물질은 SnO를 형성하도록 기판의 표면 상에서 반응하게 된다. ALD 프로세스 챔버는, 기판이 주석 함유 전구체에 노출된 후 그리고 산소 함유 반응물질이 프로세스 챔버 내로 들어오기 전 프로세스 챔버의 벌크의 반응을 방지하도록 통상적으로 불활성 가스를 사용하여 퍼지된다. 또한, ALD 프로세스 챔버는 통상적으로 기판이 산소 함유 반응물질을 사용하여 처리된 후 불활성 가스를 사용하여 퍼지된다. 순차적인 노출이 몇몇 사이클들 동안 반복되고, 예를 들어, 약 10 내지 100 사이클들이 목표된 두께를 갖는 SnO 층이 디포짓될 때까지 수행될 수 있다. 적합한 주석 함유 전구체들의 예들은 할로겐화된 주석 함유 전구체들 (예컨대 SnCl4, 및 SnBr4), 그리고 할로겐화되지 않은 주석 함유 전구체들, 예컨대 알킬 치환된 주석 아마이드들 등을 포함하는 유기 주석 화합물들을 포함한다. ALD에 적합한 알킬 치환된 주석 아마이드들의 구체적인 예들은 트레트라키스(디메틸아미노)주석, 트레트라키스(에틸메틸아미노)주석, N2,N3-디-3차-부틸-부탄-2,3-디아미노-주석(II) 및 (1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R, 5R)-1,3,2-디아자스타노리딘 (diazastannolidin)-2-일리딘 (ylidine) 이다. 산소 함유 반응물질들은 제한 없이, 산소, 오존, 물, 과산화수소, 및 NO를 포함한다. 산소 함유 반응물질들의 혼합물들이 또한 사용될 수 있다. 디포지션 조건들은 ALD 반응물질들의 선택에 따라 가변할 것이고, 일반적으로 보다 반응성 전구체들이 보다 덜 반응성 전구체들보다 낮은 온도들에서 반응할 것이다. 프로세스들이 통상적으로 약 20 내지 500 ℃의 온도, 그리고 대기압 이하의 압력에서 수행될 것이다. 온도 및 압력은 반응물질들이 응결을 방지하기 위해 프로세스 챔버에 가스 형태로 남아 있도록 선택된다. 반응물질 각각은 단독으로 또는 캐리어 가스, 예컨대 아르곤, 헬륨, 또는 질소와 혼합하여 가스 형태로 프로세스 챔버로 제공된다. 이들 혼합물들의 플로우 레이트들은 프로세스 챔버의 사이즈 종속형일 것이고, 일부 실시예들에서, 약 10 내지 10,000 sccm이다.
본 명세서에 제공된 컨포멀한 SnO 층을 디포짓하기 위해 적합한 열적 ALD 프로세스 조건들의 구체적인 예는, 전체가 참조로서 본 명세서에 인용된, Li 등의 제목이 "Tin Oxide with Controlled Morphology and Crystallinity by Atomic Layer Deposition onto Graphene Nanosheets for Enhanced Lithium Storage"인 논문 (Advanced Functional Materials, 2012, 22, 8, 1647-1654) 에 기술된다. 프로세스는 200 내지 400 ℃의 온도에서 ALD 진공 챔버에서 SnCl4 (주석 함유 전구체) 및 탈이온수 (산소 함유 반응물질) 에 기판을 순차적으로 그리고 교번적으로 노출하는 단계를 포함한다. ALD 사이클의 구체적인 예에서, SnCl4 증기와 N2 캐리어 가스의 혼합물은 0.5 초 동안 ALD 프로세스 챔버 내로 도입되고, 이어서 3 초 동안 기판에 노출된다. 다음에, ALD 프로세스 챔버는 프로세스 챔버의 벌크로부터 SnCl4를 제거하기 위해 10 초 동안 N2를 사용하여 퍼지되고, H2O 증기와 N2 캐리어 가스의 혼합물은 1 초 동안 프로세스 챔버 내로 흐르고 3 초 동안 기판에 노출된다. 다음에, ALD 프로세스 챔버는 N2를 사용하여 퍼지되고, 사이클은 반복된다. ALD 프로세스는 대기압 이하의 압력 (예를 들어, 0.4 Torr) 및 200 내지 400 ℃의 온도에서 수행된다.
본 명세서에 제공된 방법들에서 SnO 막들을 디포짓하기 위해 적합한 열적 ALD 프로세스 조건들의 또 다른 예는 전체가 참조로서 본 명세서에 인용된, Du 등의 제목이 "In situ Examination of Tin Oxide Atomic Layer Deposition using Quartz Crystal Microbalance and Fourier Transform Infrared Techniques"인 논문 (J. Vac . Sci . Technol . A 23, 581 (2005)) 에 제공된다. 이 프로세스에서 기판은 ALD 프로세스 챔버에서 약 150 내지 430 ℃의 온도에서 SnCl4 및 H2O2에 순차적으로 노출된다.
ALD에서 할로겐화된 주석 전구체들의 사용이 많은 실시예들에서 적합하지만, 일부 실시예들에서, 할로겐화된 전구체들 예컨대 SnCl4의 사용으로 일어날 수도 있는 부식 문제들을 방지하도록 할로겐화되지 않은 유기주석 전구체들을 사용하는 것이 보다 바람직하다. 적합한 할로겐화되지 않은 유기주석 전구체들의 예들은 알킬아미노주석 (알킬화된 주석 아미드) 전구체들, 예컨대 트레트라키스(디메틸아미노)주석을 포함한다. 이 전구체를 사용하는 적합한 열적 ALD 디포지션 방법의 예는 전체가 참조로서 본 명세서에 인용된, Elam 등의 제목이 "Atomic Layer Deposition of Tin Oxide Films using Tetrakis(dimethylamino) tin"인 논문 (J. Vac . Sci . Technol. A 26, 244 (2008)) 에 제공된다. 이 방법에서 기판은 ALD 챔버에서 테트라키스(디메틸아미노)주석 및 H2O2에 약 50 내지 300 ℃의 온도에서 순차적으로 노출된다. 유리하게, 이 전구체의 사용은 100 ℃ 이하의 저온에서 SnO 막들의 디포지션을 허용한다. 예를 들어, SnO 막들은 반응 레이트를 향상시키도록 플라즈마를 사용하지 않고 50 ℃에서 디포짓될 수 있다. 테트라키스(디메틸아미노)주석 및 H2O2를 사용하여 SnO의 열적 ALD의 또 다른 예는 본 명세서에 참조로서 인용된, Elam 등의 제목이 "Atomic Layer Deposition of Indium Tin Oxide Thin Films Using Nonhalogenated Precursors"인 논문 (J. Phys. Chem. C 2008, 112, 1938-1945) 에 제공된다.
반응성 유기주석 전구체의 사용을 통해 저온 열적 ALD 프로세스의 또 다른 예는 전체가 참조로서 본 명세서에 인용된, Heo 등의 제목이 "Low temperature Atomic Layer Deposition of Tin Oxide"인 논문 (Chem. Mater., 2010, 22(7) 4964-4973) 에 제공된다. 이 디포지션 프로세스 (본 명세서에 제공된 SnO 막들을 디포짓하기에 적합한) 에서, 기판은 ALD 진공 프로세스 챔버에서 N2, N3-디-3차-부틸-부탄-2,3-디아미노-주석(II) 및 50% H2O2에 순차적으로 노출된다. 이들 반응물질들은 기화되고 각각은 프로세스 챔버로 제공되어 N2 캐리어 가스와 혼합된다. 챔버는 반응물질에 대한 기판의 노출 각각 후에 N2를 사용하여 퍼지된다. 디포지션은 약 50 내지 150 ℃의 온도에서 수행될 수 있다.
과산화수소는 일반적으로 ALD 프로세스들에서 SnO의 형성을 위한 산소 함유 반응물질로서 잘 작용하지만, 때때로 H2O2 분해로 인한 SnO 막 형성에 불충분할 제어를 제공할 수도 있다. 일부 실시예들에서, 보다 안정한 산소 함유 전구체, 예컨대 NO가 사용된다. 산소 함유 반응물질로서 NO의 사용하는 적합한 프로세스 조건들의 예는 참조로서 본 명세서에 인용된, Heo 등의, 제목이 "Atomic Layer Deposition of Tin Oxide with Nitric Oxide as an Oxidant Gas"인 논문 (J. Mater. Chem., 2012, 22, 4599) 에 제공된다. 디포지션은 기판을 순환적인 Sn(II) 아미드 (1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R, 5R)-1,3,2-디아자스타노리딘-2-일리딘 및 NO에 약 130 내지 250 ℃의 온도에서 순차적으로 노출하는 것을 수반한다.
일부 실시예들에서, SnO 막들은 PEALD에 의해 디포짓된다. 열적 ALD에 대해 상기 기술된 바와 같은 동일한 타입들의 주석 함유 전구체들 및 산소 함유 반응물질들이 사용될 수 있다. PEALD에서, ALD 장치는 프로세스 챔버에서 플라즈마를 생성하고, 플라즈마를 사용하여 기판을 처리하기 위한 시스템을 구비한다. 통상적인 PEALD 프로세스 시퀀스에서, 기판은 PEALD 프로세스 챔버에 제공되고, 기판의 표면 상에 흡착하는 주석 함유 전구체에 노출된다. 프로세스 챔버는 프로세스 챔버로부터 전구체를 제거하기 위해 불활성 가스 (예를 들어, 아르곤 또는 헬륨) 를 사용하여 퍼지되고, 기판은 프로세스 챔버 내로 도입되는 산소 함유 반응물질에 노출된다. 산소 함유 반응물질의 도입과 동시에 또는 지연 후에, 플라즈마는 프로세스 챔버 내에서 형성된다. 플라즈마는 SnO의 형성을 발생시키는 기판의 표면 상에서 주석 함유 전구체와 산소 함유 반응물질 간의 반응을 용이하게 한다. 다음에, 프로세스 챔버는 불활성 가스를 사용하여 퍼지되고, 주석 전구체 도즈, 퍼지, 산소 함유 반응물질 도즈, 플라즈마 처리, 및 제 2 퍼지를 포함하는 사이클이 목표된 두께의 SnO 막을 형성하기 위해 필요한 만큼 다수 회 반복된다.
SnO 막의 PEALD 형성에 적합한 프로세스 조건들의 예는 본 명세서에 참조로서 인용된, Seop 등의 제목이 "The Fabrication of Tin Oxide Films by Atomic Layer Deposition using Tetrakis(ethylmethylamino) tin Precursor"인 논문 (Transactions on Electrical and Electronic Materials, 2009, 10, 5, 173-176) 에 제공된다. 기판이 PEALD 프로세스 챔버 내로 제공되고 4 초 노출과 함께 플라즈마 부재시 테트라키스(에틸메틸아미노)주석에 노출된다. 다음에, 주석 함유 전구체는 20 초 동안 프로세스 챔버를 통해 아르곤을 흘림으로써 프로세스 챔버로부터 퍼지된다. 이어서, O2가 100 W의 RF 전력을 사용하여 부가적인 2 초를 더하여 2 초 동안 주입된다. 아르곤 퍼지가 이어지고, 일 PEALD 사이클이 완료된다. 이 예에서, 프로세스는 50 내지 200 ℃의 온도 범위에서 그리고 0.8 Torr의 압력에서 수행된다.
ALD (열적 ALD 및 PEALD 양자) 는 SnO 막들을 디포짓하기 위한 바람직한 방법들 중 하나이지만, 다른 SnO 디포지션 방법들, 예컨대 CVD, PECVD, 및 스퍼터링이 또한 사용될 수 있다는 것이 이해된다.
도 7의 프로세스 도면을 참조하면, SnO 층이 디포짓된 후, 기판 상에 SnO 스페이서들을 형성하는 프로세스 705가 이어진다. SnO 스페이서들의 형성은 도 3 및 도 4에 예시된다. 먼저, SnO 층 (109) 은 맨드릴들 (101) 의 측벽들에 접착되는 위치들로부터 완전히 에칭되지 않고, 층 (103) 위 그리고 맨드릴들 (101) 위 수평 표면들로부터 에칭된다. 이 에칭은 맨드릴들 (101) 의 측벽들 근방 위치들을 제외하고 어디에서든 층 (103) 을 노출한다. 또한, 이 에칭은 맨드릴들의 상단 부분들을 노출한다. 발생되는 구조체는 도 3에 도시된다. 이 에칭의 화학물질은 층 (101) 및 층 (103) 으로 사용되는 재료들의 타입에 따를 것이다. 이 단계에서 SnO 층 제거에 사용된 에칭은 맨드릴 재료 에칭 레이트에 대한 SnO 에칭 레이트의 비가 1보다 크도록, 층 (103) 재료 에칭 레이트에 대한 SnO 에칭 레이트의 비가 1보다 크도록 선택된다. SnO은 다수의 습식 에칭 기법 및 건식 에칭 기법을 사용하여 에칭될 수 있다. 습식 에칭에서 기판은, 예를 들어, 기판 상으로 스프레이될 수 있는 습식 에천트와 콘택트한다. 대안적으로, 기판은 습식 (수성) 에천트 내로 딥핑 (dip) 될 수 있다. 건식 에칭에서 기판은, 기판이 플라즈마를 사용하여 또는 플라즈마를 사용하지 않고 가스 에천트와 콘택트하는 건식 에칭 챔버 내에 위치된다. 본 명세서에 사용될 때 "습식 에칭"은 액체 에천트들을 사용한 에칭을 지칭하는 한편, "건식 에칭"은 물의 사용과 무관하게, 가스 에천트 (기화된 에천트를 포함) 를 사용한 에칭을 지칭한다. SnO를 에칭하는데 적합한 습식 에칭의 일 예는, 기판이 HCl과 같은 산의 수용액과 콘택트하는, 산성 에칭이다.
HCl 에칭의 일 구현예에서, 기판은 HCl 및 크롬 금속의 수용액으로부터 준비된 수용액과 콘택트한다. 이 에칭 화학반응은 전체가 참조로서 본 명세서에 인용된 Wu 등의 제목이 "Texture Etched SnO2 Glasses Applied to Silicon Thin-film Solar Cells"인 논문 (Journal of Nanomaterials, vol. 2014, 1-9) 에 기술된다. 이 실시예에서, SnO 층은 HCl 및 Cr(II) 이온들을 함유하여 수행된 혼합물에 의해 에칭되고, Sn(IV) 을 Sn(II) 으로 환원하고 옥사이드의 용해를 보조한다. HCl:Cr 에칭 용액은 일 구현예에서 90 ℃에서 50 % 수용성 HCl 용액 (5 L) 에 크롬 금속 (20 g) 을 용해함으로써 준비된다. 크롬 농도는 0.05 내지 1 wt %로 가변할 수 있다. 일부 실시예들에서, 에칭은 20 내지 100 ℃의 온도 범위에서 수행된다.
습식 에칭 프로세스의 또 다른 예에서, SnO 층은 아연 파우더의 존재시 수용성 HX (여기서 X는 Cl, Br, 또는 I) 를 사용하여 처리된다. 이 방법에서, 옥사이드들은 HX와 아연의 반응시 형성된 수소에 의해 바로 환원된다. 또 다른 습식 에칭 실시예에서, SnO는 예를 들어, 1:3의 H3PO4:H2O 비로 제공된, 수용성 인산에 의해 에칭된다. 또한, SnO 막들은 약 60 ℃의 온도에서 수용성 HNO3 및 HCl의 혼합물에 의해 또는 수용성 HI에 의해 에칭될 수 있다.
SnO 제거를 위한 건식 에칭 화학반응의 일 예는 플라즈마에서 HBr을 사용한 처리를 포함한다. 이 처리는 전체가 본 명세서에 참조로서 인용된, Kwon 등의 제목이 "Etch Mechanism of In2O3 and SnO2 thin films in HBr-based inductively coupled plasmas"인 논문 (J. Vac . Sci . Technol . A 28, 226 (2010)) 에 기술된다. 기판은 HBr 및 아르곤을 함유하는 프로세스 가스에서 형성된 유도 결합 플라즈마를 사용하여 처리된다.
또 다른 실시예에서, HBr-함유 프로세스 가스는 산소 함유 화합물, 예컨대 O2를 더 포함한다. 일부 실시예들에서, 에칭은 HBr, O2, 및 N2를 포함하는 프로세스 가스에서 형성된 플라즈마에 기판을 노출함으로써 수행된다. 이 타입의 에칭은 재료들, 예컨대 실리콘, 및 실리콘 옥사이드에 대해 SnO 재료를 선택적으로 제거할 수 있다. 실리콘 맨드릴들의 표면은 통상적으로, 이 에칭 화학반응으로 에칭되는 것을 방지하는 실리콘 다이옥사이드 층으로 커버된다는 것을 주의한다. 일부 실시예들에서, 이 에칭 단계의 프로세스 조건들은 플라즈마 내 이온들의 에너지를 상승시키고 SnO의 에칭 레이트 재료를 증가시키도록, 기판 홀더로 상대적으로 높은 RF 바이어스를 인가하는 것을 포함한다. SnO 제거에 적합한 다른 건식 에칭 화학반응들은 Cl2와 탄화수소 (hydrocarbon) 의 혼합물에서의 플라즈마 처리, 및 클로로하이드로카본을 포함하는 프로세스 가스, 예컨대 CH2Cl2 또는 CHCl3에서의 플라즈마 처리를 포함한다. 일부 실시예들에서, 노출된 SnO 층을 포함하는 기판은 CH4 및 Cl2을 포함하는 프로세스 가스에서 형성된 플라즈마와 콘택트한다.
SnO 막들의 제거를 위한 또 다른 적합한 건식 에칭 화학반응은 수소-기반 플라즈마이다. 일부 실시예들에서, SnO는 H2를 포함하는 프로세스 가스에서 형성된 플라즈마에 기판을 노출함으로써 에칭된다. 일부 실시예들에서, 플라즈마는 H2와 탄화수소의 혼합물 (예를 들어, CH4) 로 형성된 프로세스 가스에서 형성된다.
일부 실시예들에서, 기판의 수평 부분들로부터 SnO 층의 제거는 2 개의 상이한 화학물질들을 사용하는 2 단계들을 수반한다. 주 에칭으로 참조되는, 제 1 단계에서, SnO 층의 벌크는 아래에 놓인 맨드릴 층 및 ESL 재료 층을 완전히 노출하지 않고, 수평 표면들로부터 제거된다. 따라서, 주 에칭의 에칭 화학반응은 선택적일 필요는 없다. 일부 실시예들에서, 주 에칭은 Cl2 및 탄화수소 (예를 들어, Cl2 및 CH4) 를 포함하는 프로세스 가스에서 형성된 플라즈마를 사용하여 기판을 처리함으로써 수행된다. 주 에칭은 SnO 막을 에칭쓰루하거나, 직전에 에칭 화학반응이 오버 에칭 화학반응으로 전환된다. 주 에칭의 엔드포인트는 광학적 프로브를 사용함으로써 검출될 수 있고, 이는 맨드릴 재료 또는 ESL 재료가 노출될 때 시그널링할 것이다. 오버 에칭 화학반응은 맨드릴 재료 및 ESL 재료를 실질적으로 에칭하지 않고 남아 있는 SnO 막을 제거하도록 사용된다. 오버 에칭 화학반응에 대한 맨드릴 재료의 에칭 레이트에 대한 SnO의 에칭 레이트의 비는 1보다 큰 것이 바람직하다. 오버 에칭 화학반응에 대한 ESL 재료의 에칭 레이트에 대한 SnO의 에칭 레이트의 비는 또한 1보다 큰 것이 바람직하다. 일부 실시예들에서, (예를 들어, 실리콘 맨드릴 및 실리콘 옥사이드 ESL이 사용될 때) 오버 에칭은 남아 있는 SnO 막, 노출된 맨드릴들 및 노출된 ESL을 갖는 기판을 HBr, N2 및 O2를 포함하는 프로세스 가스에서 형성된 플라즈마에 노출하는 것을 포함한다.
이 단계에서 SnO 에칭은 수평 표면으로부터 SnO를 제거하지만, 맨드릴들의 측벽들에서 SnO 층의 수직 부분들이 기판 상에 남는다. 다음에, 맨드릴들 (101) 은 도 4에 도시된 바와 같이, 노출된 SnO 스페이서들 (101) 및 노출된 ESL (103) 을 남기면서 기판으로부터 제거된다. 맨드릴들의 제거는 맨드릴 재료를 선택적으로 에칭하는 에칭 화학반응에 기판을 노출함으로써 수행된다. 따라서, 이 단계에서 SnO의 에칭 레이트에 대한 맨드릴 재료의 에칭 레이트의 비는 1보다 크고, 보다 바람직하게 1.5보다 크다. 또한, 이 단계에서 사용된 에칭 화학반응은 ESL 재료에 대해 맨드릴 재료를 선택적으로 에칭해야 한다. 다양한 에칭 방법들이 사용될 수 있고, 화학반응의 구체적인 선택은 맨드릴 재료 및 ESL 층 재료에 종속적이다. 맨드릴이 비정질 실리콘으로 이루어지고 ESL 재료가 실리콘 옥사이드이면, 맨드릴들은 산화성 산소 함유 플라즈마를 사용함으로써 제거될 수 있다. 예를 들어, 실리콘 맨드릴들은 HBr 및 O2로 구성된 프로세스 가스에서 형성된 플라즈마에 기판을 노출함으로써 선택적으로 에칭될 수 있다. 이 화학반응은 SnO 및 실리콘 옥사이드의 존재시 실리콘 재료를 선택적으로 에칭할 것이다. 일부 실시예들에서, 에칭이 시작하기 전에, 실리콘 옥사이드의 박형 보호 층이 실리콘 맨드릴들의 표면으로부터 제거된다. 이는 플루오로카본을 포함하는 프로세스 가스에서 형성된 플라즈마에 기판을 잠깐 노출함으로써 이루어질 수 있다. 맨드릴들로부터 보호 실리콘 옥사이드 층의 제거 후, 실리콘이 선택적으로 에칭된다. 일부 실시예들에서, 이 단계에서 기판에 대해 상대적으로 작은 RF 바이어스를 사용하거나 외부 바이어스가 전혀 없는 것이 바람직하다. 외부 바이어스가 사용되지 않는다면, 기판의 셀프 바이어스 (10 내지 20 V) 가 충분하다. 무 바이어스 조건 또는 저 바이어스 조건 하에서, HBr/O2 플라즈마는 SnO 및 실리콘 옥사이드의 존재시 실리콘을 선택적으로 에칭할 것이다. 맨드릴들의 제거 후 SnO 스페이서들을 나타내는 발생되는 구조가 도 4에 도시된다.
다음에, 노출된 ESL 막 (103) 은 SnO 스페이서들 (109) 에 의해 보호되지 않은 모든 위치들에서 아래에 놓인 타깃 층 (105) 을 노출하도록 에칭된다. 발생되는 구조는 도 5에 도시된다. 이 단계에서 사용되는 에칭 화학반응은 SnO의 존재시 ESL 재료를 선택적으로 에칭한다. 즉, SnO의 에칭 레이트에 대한 ESL 재료의 에칭 레이트의 비는 1보다 크고, 보다 바람직하게, 1.5보다 크다. 이 단계에서 사용된 구체적인 화학반응의 타입은 ESL 재료의 타입에 종속적일 것이다. 실리콘 옥사이드 재료 및 실리콘 옥사이드 기반 재료가 사용되면, 선택적인 에칭은 플루오로카본을 포함하는 프로세스 가스에서 형성된 플라즈마에 기판을 노출함으로써 달성될 수 있다. 예를 들어, ESL 막은 CF4, C2F6, 및 C3F8 중 하나 이상을 포함하는 프로세스 가스에서 형성된 플라즈마에 의해 에칭될 수 있다.
다음 단계에서, 아래에 놓인 층 (107) 을 노출하기 위해, ESL 막 (103) 에 의해 보호되지 않은 모든 위치들에서 타깃 층 (105) 이 에칭된다. SnO 스페이서들 (109) 이 또한 이 에칭 단계에서 제거되어 도 6에 도시된 패터닝된 구조를 제공한다. 일부 실시예들에서, 이 단계에서 사용된 에칭 화학반응은 타깃 재료 및 SnO 스페이서 재료 양자를 제거하기 위해 선택된다. 다른 실시예들에서, 상이한 화학반응들을 사용하는 2 개의 상이한 에칭 단계들은 각각 타깃 층 (105) 을 패터닝하고 SnO 스페이서들 (109) 을 제거하도록 사용될 수 있다. 다수의 에칭 화학반응들이 타깃 층의 화학물질에 따라 사용될 수 있다. 일 실시예에서, 타깃 층 (105) 은 금속 나이트라이드 층 (예를 들어, TiN) 층이다. 이 실시예에서, 금속 층이 에칭되고, SnO 스페이서들은 Cl2 및 탄화수소 (예를 들어, CH4) 를 포함하는 프로세스 가스에서 형성된 플라즈마에 기판을 노출함으로써 단일 에칭 화학반응을 사용하여 제거될 수 있다. 일반적으로, SnO 스페이서들은 상기 기술된 임의의 SnO 에칭 방법들을 사용하여 제거될 수 있다.
기술된 프로세스 시퀀스 동안 언제든, 에칭 챔버 및/또는 디포지션 챔버는 주석 함유 입자들을 휘발성 주석 하이드라이드로 변환함으로써 주석 함유 입자들로부터 세정될 수 있고, 휘발성 주석 하이드라이드는 퍼지 및/또는 배기에 의해 용이하게 제거될 수 있다. 일부 실시예들에서, 이 변환은 수소 함유 가스, 예컨대 H2, NH3 또는 이들의 혼합물들에서 형성된 플라즈마와 기판을 콘택트함으로써 수행된다.
SnO 스페이서들을 사용하하는 반도체 기판 패터닝의 구체적인 예는 도 8의 프로세스 흐름도에 제공된다. 도 1 내지 도 6에 도시된 디바이스 구조에 대한 참조가 이루어질 것이다. 프로세스는 실리콘 옥사이드 층을 포함하는 노출된 층 및 복수의 돌출하는 실리콘 피처들을 갖는 기판을 제공함으로써 801에서 시작된다. 이 예에서, 도 1을 참조하면, 기판은 노출된 실리콘 옥사이드 층 (103) 및 비정질 실리콘으로 이루어진 복수의 돌출하는 피처들 (맨드릴들) (101) 을 포함한다. 하드마스크 층 (105) 은 실리콘 옥사이드 층 (103) 아래에 놓인다. 이 예에서, 하드마스크 층은 티타늄 나이트라이드로 이루어진다. 하드마스크 층 (105) 은 BEOL 층 (107) 위에 놓인다.
다음에 동작 803에서, SnO 층은 실리콘 옥사이드 층 및 실리콘 돌출하는 피처들 양자 위에 컨포멀하게 디포짓된다. 일부 실시예들에서, 컨포멀한 디포지션은 이전에 기술된 바와 같이, (열적 또는 플라즈마 보조된) ALD에 의해 수행된다. 도 2는 실리콘 맨드릴들 및 실리콘 옥사이드 층의 표면을 커버하는 컨포멀한 SnO 층 (109) 을 예시한다. 동작 805에서 실리콘 돌출하는 피처들의 측벽들 상에 놓인 SnO 층을 제거하지 않고 수평 표면들 상에 놓인 SnO가 제거된다. 이 예에서, 2-단계 에칭에 의해 제거가 수행된다. 제 1 단계에서, 도 2에 도시된 기판을 Cl2 및 CH4를 포함하는 프로세스 가스에서 형성된 플라즈마에 노출함으로써, 주 에칭이 수행된다. 다음에 대부분의 SnO 막이 수평 표면들로부터 제거된 후, 남아 있는 SnO 막이 HBr, O2, 및 N2으로 구성된 프로세스 가스에서 형성된 플라즈마를 포함하는, 오버 에칭 화학반응에 기판을 노출함으로써 수평 표면들로부터 제거된다. 이 단계는 기판 페데스탈에 상대적으로 고 바이어스를 인가하여 수행된다. 실리콘 맨드릴들은 이 단계 동안, 이 화학반응에 의해 인식가능하게 에칭되지 않는, 실리콘 옥사이드 보호 층으로 커버된다. 발생되는 구조는 실리콘 옥사이드 층 (103) 및 실리콘 맨드릴들 (101) 이 에칭된 도 3에 도시된다.
다음에, 동작 807에서, 실리콘 돌출하는 피처들이 제거되어 SnO 스페이서들이 도 4에 도시된 바와 같이 형성된다. 이 예에서 실리콘 맨드릴들은 기판을 바이어스하지 않고 HBr 및 O2로 구성된 프로세스 가스로 형성된 플라즈마에 기판을 노출함으로써, 또는 SnO 층의 HBr/O2/N2 에칭에 사용된 바이어스보다 낮은 바이어스를 사용함으로써 선택적으로 에칭된다. 일부 실시예들에서, 실리콘 맨드릴들의 제거 전에, 보호 실리콘 옥사이드 층은, 예를 들어 플루로카본을 포함하는 프로세스 가스에서 형성된 플라즈마에 기판을 잠깐 노출시킴으로써 실리콘의 표면으로부터 에칭된다.
후속하는 단계 809에서, 노출된 실리콘 옥사이드 층은 제거되고 아래에 놓인 하드마스크 층이 노출된다. 실리콘 옥사이드는 하나 이상의 플루오로카본들을 포함하는 프로세스 가스에서 형성된 플라즈마에 기판을 노출함으로써 선택적으로 에칭된다.
이 단계 후에, 플루오로카본 에칭이 수행되는 에칭 프로세스 챔버는 주석을 함유하는 모든 입자들을 제거하도록 세정될 수 있다. 예를 들어, 주석 플루오라이드가 챔버의 표면들 상에 예기치 않게 디포짓될 수 있다. 기판이 프로세스 챔버로부터 제거된 후, 수소 함유 가스, 예컨대 H2, NH3 또는 이들 가스들의 혼합물이 주석 함유 입자들을 휘발성 주석 하이드라이드로 변환하기 위해 프로세스 챔버 내로 흐른다. 이 예에서 세정이 이 프로세스 가스에서 플라즈마를 형성함으로써 수행된다. 다른 실시예들에서, 챔버는 플라즈마의 부재인 H2에 노출된다. 실리콘 옥사이드 층의 제거 후 획득된 기판은 노출된 하드마스크 층 (105) 을 도시하는 도 5에 도시된다. 다음에 동작 811에서, 노출된 하드마스크 층 및 SnO 스페이서들이 제거된다. 일 예에서, 도 5에 도시된 기판을 Cl2 및 CH4로 구성된 프로세스 가스로 형성된 플라즈마에 노출함으로써 주석 하드마스크 및 SnO 층이 형성된다.
바람직한 에칭 선택도 속성들과 상관되는 상대적으로 높은 모듈러스를 특징으로 하기 때문에, 주석 옥사이드는 다른 스페이서 재료들, 예컨대 TiO2 및 SiO2에 유리하게 비교된다. 벌크 주석 (II) 옥사이드의 모듈러스는 360 GPa이고, 이는 티타늄 다이옥사이드의 모듈러스 (210 GPa) 및 실리콘 다이옥사이드 (70 GPa) 의 모듈러스보다 크다. 따라서, 피치 워킹과 같은 저 에칭 선택도와 관련된 문제들은 SnO 스페이서들을 사용함으로써 처리된다. 게다가, 주석 하이드라이드는 -52 ℃의 용융점을 갖고, 티타늄 하이드라이드의 용융점은 350 ℃보다 높다. 티타늄 옥사이드가 스페이서 재료로서 사용될 때, 티타늄 하이드라이드는 비휘발성이기 때문에, 티타늄 함유 입자들 (예를 들어, 티타늄 클로라이드 또는 플루오라이드) 을 티타늄 하이드라이드로 변환함으로써 프로세스 챔버들을 세정하는 것이 가능하지 않다. 반대로, 주석 옥사이드가 스페이서 재료로 사용되면, 프로세스 챔버들은 프로세스 챔버들로부터 퍼지될 수 있는 휘발성 주석 하이드라이드로 주석 함유 입자들을 변환함으로써 용이하게 세정될 수 있다.
장치
본 명세서에 개시된 구현예들의 또 다른 양태는 본 명세서에 기술된 방법들을 달성하도록 구성된 시스템 및 장치이다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 개시된 구현예들에 따라 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 실시예들에서, SnO 층을 디포짓하기 위한 디포지션 장치가 제공된다. 일부 실시예들에서, 이는 ALD 장치 (예를 들어, PEALD 장치) 이다. 다른 실시예들에서, 이는 CVD 장치일 수도 있고 또는 주석 옥사이드 타깃을 포함하는, 스퍼터링 장치일 수도 있다. 장치는 프로세스 챔버, 디포지션 동안 제자리에 기판을 홀딩하기 위한 지지부, 프로세스 챔버 내로 프로세스 가스들을 흘리기 위한 유입부를 포함하고, 및 또한 프로세스 챔버 내에서 플라즈마를 형성하기 위한 시스템을 포함할 수도 있다. 또한, 장치는 본 명세서에 제공된 방법들에 따라, SnO 층을 디포짓하기 위한 프로그램 인스트럭션들을 갖는 제어기를 포함한다.
본 명세서에 제공된 건식 에칭 장치들은 전달 라인들 및 가스 시약들의 전달을 위해 구성된 제어 메커니즘들을 구비한 다양한 장치들로 수행될 수 있다. 적합한 프로세스 챔버들의 예들은 플라즈마 에칭 챔버들, RIE 챔버들, 등방성 에칭 챔버들, 뿐만 아니라 레지스트 스트립 챔버들을 포함한다. 일부 실시예들에서, 건식 에칭 장치는 기판을 홀딩하기 위한 지지부를 하우징하는 프로세스 챔버, 프로세스 챔버로 하나 이상의 프로세스 가스들을 전달하기 위한 전달 라인들을 포함한다. 일부 실시예들에서, 장치는 프로세스 가스에서 플라즈마를 생성하기 위한 시스템을 더 포함한다. 프로세스 챔버는 에칭을 수행하기 위한 프로그램 인스트럭션들을 포함하는 제어기를 더 포함할 수도 있다. 인스트럭션들은 프로세스 가스 및 프로세스 챔버 내 온도 및 압력 설정사항의 전달을 위한 인스트럭션들 및 플라즈마 파라미터들의 인스트럭션들을 포함할 수도 있다.
본 명세서에 제공된 습식 에칭 동작들은 습식 에천트를 기판 상으로 전달하기 위해 구성된 다양한 장치들에서 수행될 수 있다. 이들은 액체 에천트에 기판을 딥핑하고, 또는 기판 상으로 에천트를 스프레이 또는 스트리밍하고, 또는 다른 콘택트 방법들을 위해 구성될 수도 있다. 일부 실시예들에서, 장치는 에천트 전달 동안 제자리에 기판을 홀딩하기 위한 지지부, 및 액체 에천트를 스프레이 또는 스트리밍하도록 구성된 하나 이상의 전달 포트들 (예를 들어, 노즐들) 을 포함하고, 지지부는 기판을 회전시키기 위해 구성될 수도 있다. 장치는 습식 에칭 프로세스를 위한 프로그램 인스트럭션들을 갖는 제어기를 더 포함할 수도 있다.
또 다른 양태에서, 시스템이 제공되고, 시스템은 SnO 층을 디포짓하기 위해 구성된 디포지션 챔버, 및 기판 상의 하나 이상의 재료들을 에칭하기 위해 구성된 하나 이상의 에칭 챔버들 (RIE 챔버들, 습식 에칭 챔버들) 을 포함한다. 시스템은 본 명세서에 개시된 방법들에 따라 SnO 층을 디포짓하고 SnO 스페이서들을 형성하기 위한 프로그램 인스트럭션들을 갖는 제어기를 더 포함한다.
PEALD 장치는 본 명세서에 제공된 방법들에 따라, SnO 층들의 디포짓에 적합한 장치의 예로서 이제 기술될 것이다.
일부 실시예들에서, SnO 층의 컨포멀한 디포지션은 CA, Fremont 소재의 Lam Research Corp.로부터 입수가능한 Vector Excel 디포지션 모듈의 일부인 PEALD 반응기에서 수행된다. 적합한 프로세스 챔버는 디포지션 동안 웨이퍼 기판을 홀딩하기 위한 지지부 (웨이퍼 페데스탈), 프로세스 챔버 내에서 플라즈마를 형성하기 위한 생성기, 및 프로세스 챔버로 프로세스 가스의 컴포넌트들을 전달하기 위한 도관들 (주석 함유 전구체, 산소 함유 반응물질, 캐리어 가스, 등) 을 포함한다. 장치는 프로세스 챔버를 퍼지 및/또는 배기하고, 디포지션 동안 프로세스 챔버 내에 목표된 압력 및 온도를 유지하기 위해 더 구성된다.
PEALD 프로세스 챔버들의 예들은 전체가 본 명세서에 참조로서 인용된, 미국 특허 제 6,416,822 호, 미국 특허 제 6,428,859 호, 및 미국 특허 제 8,747,964 호에 기술된다.
도 9는 SnO 막들을 디포짓하도록 사용될 수도 있는 PEALD 프로세스 스테이션 (900) 의 실시예를 개략적으로 도시한다. 간략함을 위해, 프로세스 스테이션 (900) 은 저압 분위기를 유지하기 위해 프로세스 챔버 바디 (902) 를 갖는 독립형 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (900) 이 공통 프로세스 툴 분위기 내에 포함될 수도 있다는 것이 이해될 것이다. 또한, 일부 실시예들에서, 이하에 상세히 논의된 것들을 포함하는, 프로세싱 스테이션 (900) 의 하나 이상의 하드웨어 파라미터들은, 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
프로세스 스테이션 (900) 은 분배 샤워헤드 (906) 로 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (901) 과 유체적으로 통신한다. 반응 물질 전달 시스템 (901) 은 샤워헤드 (906) 로의 전달을 위해 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (904) 를 포함한다. 하나 이상의 혼합 용기 유입부 밸브들 (920) 이 혼합 용기 (904) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 유사하게, 샤워헤드 유입부 밸브 (905) 는 샤워헤드 (906) 로 프로세스 가스들의 도입을 제어할 수도 있다.
일부 반응 물질들은 프로세스 스테이션에서의 기화 및 프로세스 스테이션으로의 후속하는 전달 전에 액체 형태로 저장될 수도 있다. 예를 들어, 도 9의 실시예는 혼합 용기 (904) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점 (903) 을 포함한다. 일부 실시예들에서, 기화 지점 (903) 은 가열된 기화기일 수도 있다. 증발기들로부터 생산된 반응 물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 방법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 스윕핑 (sweeping) 및/또는 배기하는 것을 포함할 수도 있다. 그러나, 전달 파이프를 스윕핑하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 상승시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (903) 의 전달 파이프 다운스트림은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (904) 가 또한 열 추적될 수도 있다. 일 비제한적 예에서, 기화 지점 (903) 의 파이프 다운스트림은 혼합 용기 (904) 에서 대략 100 ℃에서 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시예들에서 반응물질 액체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다는 것이 이해될 것이다. 보다 빠른 기화는 기화 지점 (903) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (904) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (906) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (903) 의 업스트림에 LFC (liquid flow controlle) 가 기화 및 프로세스 스테이션 (900) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, LFC는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
샤워헤드 (906) 는 프로세스 스테이션에서 기판 (912) 을 향하여 프로세스 가스들을 분배한다. 도 9에 도시된 실시예에서, 기판 (912) 은 샤워헤드 (906) 밑에 위치되고, 페데스탈 (908) 상에 얹힌 것으로 도시된다. 샤워헤드 (906) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (912) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 마이크로볼륨 (907) 은 샤워헤드 (906) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨에서보다 마이크로볼륨 내에서 ALD 프로세스를 수행하는 것이 반응물질 노출 및 스윕핑 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들에 대한 프로세스 스테이션 로보틱스의 노출을 제한하는 등을 할 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 볼륨을 포함한다. 이 마이크로볼륨은 또한 생산성 쓰루풋에 영향을 준다. 사이클 당 디포지션 레이트가 하락하는 동안, 사이클 시간이 또한 동시에 감소된다. 특정한 경우들에서, 후자의 효과는 막의 미리 결정된 타깃 두께에 대해 모듈의 전체 쓰루풋을 개선하기에 충분히 급격하다.
일부 실시예들에서, 페데스탈 (908) 은 기판 (912) 을 마이크로볼륨 (907) 에 노출시키고 그리고/또는 마이크로볼륨 (907) 의 체적을 변화시키기 위해 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (908) 은 기판 (912) 으로 하여금 페데스탈 (908) 상으로 로딩되게 하도록 하강될 수도 있다. 디포지션 프로세스 페이즈 동안, 페데스탈 (908) 은 마이크로볼륨 (907) 내에 기판 (912) 을 포지셔닝하도록 상승될 수도 있다. 일부 실시예들에서, 마이크로볼륨 (907) 은 디포지션 프로세스 동안 고 플로우 임피던스 영역을 생성하기 위해 페데스탈 (908) 의 일부뿐만 아니라 기판 (912) 을 완전히 둘러쌀 수도 있다.
선택가능하게, 페데스탈 (908) 은 마이크로볼륨 (907) 내에서 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 일부의 디포지션 프로세스 동안 하강되고 그리고/또는 상승될 수도 있다. 프로세스 챔버 바디 (902) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (908) 을 하강시키는 것은 마이크로볼륨 (907) 으로 하여금 배기되게 할 수도 있다. 프로세스 챔버 볼륨에 대한 마이크로볼륨의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:900 내지 1:10의 체적 비를 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (908) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 예를 들어, ALD 또는 CVD 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 가변하게 할 수도 있다. 디포지션 프로세스 페이즈의 종료 시, 페데스탈 (908) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (908) 로부터 기판 (912) 의 제거를 허용하도록 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이-조정가능한 페데스탈을 참조하지만, 일부 실시예들에서, 샤워헤드 (906) 의 위치는 마이크로볼륨 (907) 의 체적을 변화시키기 위해 페데스탈 (908) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (908) 및/또는 샤워헤드 (906) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (908) 은 기판 (912) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
도 9에 도시된 실시예로 돌아가면, 샤워헤드 (906) 및 페데스탈 (908) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (914) 및 매칭 네트워크 (916) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (914) 및 매칭 네트워크 (916) 는 목표된 조성의 라디컬 종들을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (914) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (914) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 50 ㎑ 내지 900 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 비제한적인 일 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키기 위해 간헐적으로 펄싱될 수도 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 프로세스 페이즈를 위해 플라즈마 조건들을 설정하기 위한 인스트럭션들은 디포지션 프로세스 레시피의 대응하는 플라즈마 활성화 레시피에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 디포지션 프로세스 페이즈를 위한 모든 인스트럭션들이 프로세스 페이즈와 동시에 실행되도록 순차적으로 구성될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 페이즈에 선행하는 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 프로세스 가스 및/또는 프로세스 가스의 개별 컴포넌트들의 플로우 레이트를 설정하기 위한 인스트럭션들, 전력 설정 점으로 플라즈마 생성기를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 플라즈마 생성기를 인에이블하기 위한 인스트럭션들 및 제 2 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고 그리고/또는 본 개시의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 페데스탈 (908) 은 히터 (910) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 디포지션 프로세스 스테이션 (900) 을 위한 압력 제어가 버터플라이 밸브 (918) 에 의해 제공될 수도 있다. 도 9의 실시예에 도시된 바와 같이, 버터플라이 밸브 (918) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (900) 의 압력 제어는 또한 프로세스 스테이션 (900) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다.
일부 실시예들에서, 본 명세서에 제공된 기판들은 멀티-스테이션 툴에서 프로세싱된다. 도 10은 인바운드 로드록 (1002) 및 아웃바운드 로드록 (1004) 중 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (1002) 및 아웃바운드 로드록 (1004) 을 갖는, 멀티스테이션 프로세싱 툴 (1000) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (1006) 은, 카세트로부터 포드 (1008) 를 통해 인바운드 로드록 (1002) 으로 로딩된 웨이퍼들을 대기 포트 (1010) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (1002) 내의 페데스탈 (1012) 상에 로봇 (1006) 에 의해 배치되고, 대기 포트 (1010) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (1002) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (1014) 내로 도입되기 전에 로드록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (1002) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (1014) 로의 챔버 이송 포트 (1016) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다.
도시된 프로세싱 챔버 (1014) 는 도 10에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 히팅된 페데스탈 (스테이션 1에 대해 1018로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 도시된 프로세싱 챔버 (1014) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 10은 또한 프로세싱 챔버 (1014) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (1090) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (1090) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 10은 또한 프로세스 툴 (1000) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1050) 의 실시예를 도시한다. 시스템 제어기 (1050) 는 하나 이상의 메모리 디바이스들 (1056), 하나 이상의 대용량 저장 디바이스들 (1054), 및 하나 이상의 프로세서들 (1052) 을 포함할 수도 있다. 프로세서 (1052) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1050) 는 프로세스 툴 (1000) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1050) 는 대용량 저장 장치 (1054) 에 저장되고 메모리 디바이스 (1056) 내로 로딩되어 프로세서 (1052) 상에서 실행되는 시스템 제어 소프트웨어 (1058) 를 실행한다. 시스템 제어 소프트웨어 (1058) 는 타이밍, 가스의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 퍼지 조건들 및 타이밍, 웨이퍼 온도, RF 전력 레벨들, RF 주파수들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (1000) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 개시된 방법들에 따른 다양한 프로세스 툴 프로세스들을 실행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (1058) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, PEALD 프로세스의 페이즈 각각은 시스템 제어기 (1050) 에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다.
시스템 제어기 (1050) 와 연관된 대용량 저장 디바이스 (1054) 및/또는 메모리 디바이스 (1056) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1018) 상에 기판을 로딩하고 기판과 프로세스 툴 (1000) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 디포지션 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 임의의 개시된 범위들 내의 가스 조성 및 플로우 레이트들을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 임의의 개시된 압력 범위들 내에서 프로세스 스테이션의 압력을 유지하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 히터 제어 프로그램은 임의의 개시된 범위들 내의 기판 온도를 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은, 예를 들어, 본 명세서에 개시된 임의의 RF 전력 레벨들을 사용하여, 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들 및 주파수들을 설정하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 또한 플라즈마 노출 각각의 지속기간을 제어하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1050) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1050) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 전력 레벨들, 주파수, 및 노출 시간과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1050) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1000) 의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
임의의 적합한 챔버는 개시된 실시예들을 구현하기 위해 사용될 수도 있다. 예시적인 디포지션 장치들은 이로 제한되는 것은 아니지만, 각각 CA, Fremont 소재의 Lam Research Corp.로부터 입수가능한 ALTUS® 제품군, VECTOR® 제품군, 및/또는 SPEED® 제품군으로부터의 장치, 또는 임의의 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들을 포함한다. 2 이상의 스테이션들이 동일한 기능들을 수행할 수도 있다. 유사하게, 2 이상의 스테이션들은 상이한 기능들을 수행할 수도 있다. 스테이션 각각은 목표된 바에 따라 특정한 기능/방법을 수행하도록 설계/구성될 수 있다.
도 11은 특정한 실시예들에 따라 박막 디포지션 프로세스를 수행하기 적합한 프로세싱 시스템의 블록도이다. 시스템 (1100) 은 이송 모듈 (1103) 을 포함한다. 이송 모듈 (1103) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 클린 (clean), 가압된 분위기를 제공한다. 특정한 실시예들에 따라 각각 ALD 및/또는 CVD를 수행할 수 있는 2 개의 멀티-스테이션 반응기들 (1109 및 1110) 이 이송 모듈 (1103) 상에 장착된다. 다른 실시예들에서, 일 반응기는 ALD를 수행하도록 구성된 스테이션들을 포함할 수도 있고 또 다른 반응기는 에칭을 수행하도록 구성된 스테이션들을 포함할 수도 있다. 반응기들 (1109 및 1110) 은 개시된 실시예들에 따른 동작들을 순차적으로 또는 비순차적으로 수행할 수도 있는 복수의 스테이션들 (1111, 1113, 1115, 및 1117) 을 포함할 수도 있다. 스테이션들은 가열된 웨이퍼 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입부들 또는 샤워헤드, 또는 확산 플레이트를 포함할 수도 있다.
또한 개시된 방법들과 관련하여 기술된 플라즈마 또는 화학적 (비-플라즈마) 사전-세정 또는 임의의 다른 프로세스들을 수행할 수 있는 전달 모듈 (1103) 상에 하나 이상의 단일 또는 멀티-스테이션모듈들 (1107) 이 장착될 수도 있다. 모듈 (1107) 은 일부 경우들에서, 예를 들어, 디포지션 프로세스를 위해 기판을 준비하기 위한 다양한 다른 처리들을 위해 사용될 수도 있다. 모듈 (1107) 은 또한 에칭 또는 폴리싱과 같은 다양한 다른 프로세스들을 수행하도록 설계/구성될 수도 있다. 시스템 (1100) 은 또한 프로세싱 전후에 웨이퍼들이 저장되는, 하나 이상의 웨이퍼 소스 모듈들 (1101) 을 포함한다. 분위기 전달 챔버 (1119) 내의 대기 로봇 (atmospheric robot) (미도시) 이 먼저 소스 모듈들 (1101) 로부터 로드록들 (1121) 로 웨이퍼들을 제거한다. 전달 모듈 (1103) 내의 웨이퍼 전달 디바이스 (일반적으로 로봇 암 유닛) 가 로드록들 (1121) 로부터 전달 모듈 (1103) 상에 장착된 모듈들로 그리고 모듈들 간에서 웨이퍼들을 이동시킨다.
다양한 실시예들에서, 시스템 제어기 (1129) 는 디포지션 동안 프로세스 조건들을 제어하도록 채용된다. 시스템 제어기 (1129) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
시스템 제어기 (1129) 는 디포지션 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (1129) 는 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 시스템 제어기 (1129) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서, 채용될 수도 있다.
통상적으로 사용자 인터페이스는 시스템 제어기 (1129) 와 연관될 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩되거나 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은, 디지털 신호 프로세서들, ASIC들, 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들 내에서 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것을 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스에서 게르마늄 함유 환원제 펄스들, 수소 흐름, 및 텅스텐 함유 전구체 펄스들 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 또는 다른 것들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 프로세스 조건들, 예컨대 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도, 및 챔버 벽 온도에 관한 것이다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (1129) 의 아날로그 및/또는 디지털 입력 연결부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 디포지션 장치 (1100) 의 아날로그 및 디지털 출력 연결부들 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 개시된 실시예들에 따른 디포지션 프로세스들 (및 일부 경우들에서, 다른 프로세스들) 을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 히터 제어 코드를 포함한다.
일부 구현예들에서, 제어기 (1129) 는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (1129) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 일부 시스템들에서 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 디포지션 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic 층 deposition) 챔버 또는 모듈, ALE (atomic 층 etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
다른 구현예들
본 명세서에 기술된 장치 및 프로세스들은, 예를 들어, 반도체 디바이스들, 디스플레이들, LEDs, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 이러한 장치 및 프로세스들은 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.

Claims (27)

  1. 반도체 기판을 프로세싱하는 방법에 있어서,
    (a) 제 1 재료를 포함하는 노출된 층 및 상기 제 1 재료와 상이한 제 2 재료를 포함하는 적어도 하나의 돌출하는 피처를 갖는 반도체 기판을 제공하는 단계; 및
    (b) 상기 적어도 하나의 돌출하는 피처의 측벽들을 포함하는, 상기 제 1 재료 및 상기 제 2 재료 양자 위에 SnO2 층을 디포짓하는 단계로서, SnO2의 에칭 레이트에 대한 상기 제 1 재료의 에칭 레이트의 비는 제 1 에칭 화학반응에 대해 1보다 크고, SnO2의 에칭 레이트에 대한 상기 제 2 재료의 에칭 레이트의 비는 제 2 에칭 화학반응에 대해 1보다 크도록, 상기 제 1 재료 및 상기 제 2 재료가 선택되고, 상기 디포짓하는 단계는 상기 반도체 기판의 상기 제 1 재료 및 상기 제 2 재료를 주석 함유 전구체 및 산소 함유 전구체에 노출하는 것을 포함하는, 상기 SnO2 층을 디포짓하는 단계, 및 상기 SnO2 층을 디포짓하는 단계 이후, 휘발성 주석-함유 생성물 (volatile tin-containing product) 을 형성하도록, 플라즈마에서 활성화된 수소-함유 가스를 프로세스 챔버와 접촉시킴으로써 상기 SnO2 층을 디포짓하기 위해 사용되는 상기 프로세스 챔버를 세정하는 단계;
    (c) 상기 SnO2 층을 디포짓한 후, 상기 적어도 하나의 돌출부의 상기 측벽들을 덮는 상기 SnO2 층을 완전히 제거하지 않고 상기 반도체 기판의 수평 표면들로부터 상기 SnO2 층을 완전히 제거하는 단계; 및
    (d) 상기 반도체 기판의 수평 표면들로부터 상기 SnO2 층을 제거한 후, 상기 적어도 하나의 돌출부의 상기 측벽들을 커버하는 상기 SnO2 층을 완전히 제거하지 않고, 상기 제 2 에칭 화학반응을 사용하여 상기 적어도 하나의 돌출부를 완전히 제거하여 SnO2 스페이서들을 형성하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 주석 함유 전구체의 주석은 주석(II) 및 주석(IV)으로 구성된 그룹으로부터 선택되는, 반도체 기판을 프로세싱하는 방법.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 제 1 항에 있어서,
    상기 주석 함유 전구체는 알킬 치환된 주석 아마이드인, 반도체 기판을 프로세싱하는 방법.
  11. 제 1 항에 있어서,
    상기 주석 함유 전구체는 테트라키스(디메틸아미노)주석, 테트라키스(에틸메틸아미노)주석, N2,N3-디-3차-부틸-부탄-2,3-디아미노-주석(II), 및 1,3-비스(1,2메틸에틸)-4,5-디메틸-(4R, 5R)-1,3,2-디아자스타노리딘-2-일리딘으로 구성된 그룹으로부터 선택되는, 반도체 기판을 프로세싱하는 방법.
  12. 제 1 항에 있어서,
    상기 주석 함유 전구체는 테트라키스(디메틸아미노)주석인, 반도체 기판을 프로세싱하는 방법.
  13. 제 1 항에 있어서,
    상기 디포짓하는 단계는 상기 주석 함유 전구체 및 상기 산소 함유 전구체의 순차적 노출 사이에 불활성 가스로 상기 반도체 기판을 하우징하는 프로세스 챔버를 퍼지하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  14. 제 13 항에 있어서,
    상기 SnO2 층의 디포지션은 상기 주석 함유 전구체 및 상기 산소 함유 전구체 각각을 독립적으로 가스 상으로 유지하는 프로세스 파라미터로 수행되는, 반도체 기판을 프로세싱하는 방법.
  15. 제 14 항에 있어서,
    상기 프로세스 파라미터는 20 ℃ 내지 500 ℃인 상기 프로세스 챔버의 온도인, 반도체 기판을 프로세싱하는 방법.
  16. 제 14 항에 있어서,
    상기 프로세스 파라미터는 상기 주석 함유 전구체 및 상기 산소 함유 전구체 각각이 독립적으로 10 sccm 내지 10,000 sccm으로 흐르는 플로우 레이트인, 반도체 기판을 프로세싱하는 방법.
  17. 제 1 항에 있어서,
    상기 산소 함유 전구체는 오존, 물, 산소, 과산화수소, 및 NO로 구성된 그룹으로부터 선택되는, 반도체 기판을 프로세싱하는 방법.
  18. 제 1 항에 있어서,
    상기 주석 함유 전구체 및 상기 산소 함유 전구체 각각은 캐리어 가스와 독립적으로 조합되고, 상기 캐리어 가스는 헬륨, 아르곤, 및 질소로 구성된 그룹으로부터 선택되는, 반도체 기판을 프로세싱하는 방법.
  19. 제 1 항에 있어서,
    상기 SnO2 층의 디포지션은 CVD 프로세스, ALD, 또는 이들의 임의의 조합 중 적어도 하나를 사용하여 수행되는, 반도체 기판을 프로세싱하는 방법.
  20. 제 1 항에 있어서,
    상기 디포짓하는 단계는 상기 반도체 기판의 상기 제 1 재료 및 상기 제 2 재료를 주석 함유 전구체 및 산소 함유 전구체에 순차적으로 노출하는 것을 포함하는, 반도체 기판을 프로세싱하는 방법.
  21. (a) 반도체 기판을 홀딩하도록 구성된 기판 홀더를 포함하는 프로세스 챔버;
    (b) 주석 함유 전구체 및 산소 함유 전구체의 도입을 위해 구성된 상기 프로세스 챔버의 유입부; 및
    (c) 제어기를 포함하고,
    상기 제어기는, (i) 제 1 재료의 층 및 제 2 재료로 이루어진 복수의 돌출하는 피처들을 포함하는 상기 반도체 기판을 SnO2 층으로 코팅하는 것과 같이, 상기 주석 함유 전구체 및 상기 산소 함유 전구체와 상기 반도체 기판의 콘택트를 유발하도록 구성된 프로그램 인스트럭션; 및 (ii) 휘발성 주석-함유 생성물 (volatile tin-containing product) 을 형성하도록, 플라즈마에서 활성화된 수소-함유 가스를 상기 프로세스 챔버와 접촉시킴으로써 상기 (i) 프로그램 인스트럭션 후 상기 프로세스 챔버를 세정하는 것을 유발하도록 구성된 프로그램 인스트럭션을 포함하는, 디포지션 장치.
  22. 제 21 항에 있어서,
    상기 주석 함유 전구체는 테트라키스(디메틸아미노)주석 (tetrakis(dimethylamino) tin) 인, 디포지션 장치.
  23. 제 21 항에 있어서,
    상기 주석 함유 전구체는 테트라키스(디메틸아미노)주석, 테트라키스(에틸메틸아미노)주석, N2,N3-디-3차-부틸-부탄-2,3-디아미노-주석(II), 및 1,3-비스(1,2메틸에틸)-4,5-디메틸-(4R, 5R)-1,3,2-디아자스타노리딘-2-일리딘으로 구성된 그룹으로부터 선택되는, 디포지션 장치.
  24. 제 21 항에 있어서,
    상기 프로그램 인스트럭션들은 상기 주석 함유 전구체 및 상기 산소 함유 전구체와 상기 반도체 기판의 순차적인 콘택트를 유발하도록 구성되는, 디포지션 장치.
  25. 하나 이상의 디포지션 프로세스 챔버들 및 하나 이상의 에칭 프로세스 챔버들 및
    제어기를 포함하고,
    상기 제어기는,
    (i) 제 1 재료를 포함하는 노출된 층 및 상기 제 1 재료와 상이한 제 2 재료를 포함하는 적어도 하나의 돌출하는 피처를 갖는 반도체 기판 상에서, 상기 제 1 재료 및 상기 제 2 재료 양자 위에 SnO2 층을 디포짓하게 하고―SnO2의 에칭 레이트에 대한 상기 제 1 재료의 에칭 레이트의 비는 제 1 에칭 화학반응에 대해 1보다 크고, SnO2의 에칭 레이트에 대한 상기 제 2 재료의 에칭 레이트의 비는 제 2 에칭 화학반응에 대해 1보다 크도록, 상기 제 1 재료 및 상기 제 2 재료가 선택되고, 상기 디포지션은 상기 반도체 기판의 상기 제 1 재료 및 상기 제 2 재료를 주석-함유 전구체 및 산소-함유 전구체에 노출하는 것을 포함함―, 그리고 상기 SnO2 층을 디포짓한 후, 휘발성 주석-함유 생성물 (volatile tin-containing product) 을 형성하도록, 플라즈마에서 활성화된 수소-함유 가스를 프로세스 챔버와 접촉시킴으로써 상기 SnO2 층을 디포짓하기 위해 사용되는 상기 프로세스 챔버를 세정하게 하고;
    (ii) 상기 SnO2 층을 디포짓한 후, 상기 적어도 하나의 돌출부의 측벽들을 덮는 상기 SnO2 층을 완전히 제거하지 않고 상기 반도체 기판의 수평 표면들로부터 상기 SnO2 층을 완전히 제거하게 하고; 그리고
    (iii) 상기 반도체 기판의 수평 표면들로부터 상기 SnO2 층을 제거한 후, 상기 적어도 하나의 돌출부의 측벽들을 커버하는 상기 SnO2 층을 완전히 제거하지 않고, 상기 제 2 에칭 화학반응을 사용하여 상기 적어도 하나의 돌출부를 완전히 제거하여 SnO2 스페이서들을 형성하게 하도록 구성된 프로그램 인스트럭션들을 포함하는, 시스템.
  26. 제 25 항에 있어서,
    상기 (i) 에서 상기 디포짓하는 것은 상기 반도체 기판의 상기 제 1 재료 및 상기 제 2 재료를 주석 함유 전구체 및 산소 함유 전구체에 순차적으로 노출하는 것을 포함하는, 시스템.
  27. 삭제
KR1020200034960A 2016-06-28 2020-03-23 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들 KR102439391B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/195,348 US9824893B1 (en) 2016-06-28 2016-06-28 Tin oxide thin film spacers in semiconductor device manufacturing
US15/195,348 2016-06-28
KR1020170077686A KR102416350B1 (ko) 2016-06-28 2017-06-20 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170077686A Division KR102416350B1 (ko) 2016-06-28 2017-06-20 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들

Publications (2)

Publication Number Publication Date
KR20200034699A KR20200034699A (ko) 2020-03-31
KR102439391B1 true KR102439391B1 (ko) 2022-09-01

Family

ID=60320531

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020170077686A KR102416350B1 (ko) 2016-06-28 2017-06-20 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
KR1020200034960A KR102439391B1 (ko) 2016-06-28 2020-03-23 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
KR1020220079607A KR102533971B1 (ko) 2016-06-28 2022-06-29 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
KR1020230062221A KR20230074079A (ko) 2016-06-28 2023-05-15 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170077686A KR102416350B1 (ko) 2016-06-28 2017-06-20 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020220079607A KR102533971B1 (ko) 2016-06-28 2022-06-29 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
KR1020230062221A KR20230074079A (ko) 2016-06-28 2023-05-15 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들

Country Status (6)

Country Link
US (4) US9824893B1 (ko)
JP (3) JP7096537B2 (ko)
KR (4) KR102416350B1 (ko)
CN (3) CN112701029A (ko)
SG (2) SG10201704783YA (ko)
TW (2) TWI770033B (ko)

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100069614A1 (en) 2008-06-27 2010-03-18 Merus B.V. Antibody producing non-human mammals
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP2018046185A (ja) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR102455674B1 (ko) 2017-11-17 2022-10-17 미쓰이 가가쿠 가부시키가이샤 반도체 소자 중간체, 금속 함유막 형성용 조성물, 반도체 소자 중간체의 제조 방법, 및 반도체 소자의 제조 방법
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7334166B2 (ja) * 2018-01-30 2023-08-28 ラム リサーチ コーポレーション パターニングにおける酸化スズマンドレル
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
KR102626483B1 (ko) * 2018-03-01 2024-01-17 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019182872A1 (en) 2018-03-19 2019-09-26 Lam Research Corporation Chamfer-less via integration scheme
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019213207A1 (en) * 2018-05-01 2019-11-07 Applied Materials, Inc. Methods of increasing selectivity for selective etch processes
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10867804B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11670516B2 (en) * 2018-08-24 2023-06-06 Lam Research Corporation Metal-containing passivation for high aspect ratio etch
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
WO2020061484A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Etching metal-oxide and protecting chamber components
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20210076999A (ko) * 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN112368808A (zh) * 2018-12-20 2021-02-12 玛特森技术公司 天然氧化物穿通后的硅芯轴刻蚀
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
WO2020263757A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Alternating etch and passivation process
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN110504482A (zh) * 2019-08-08 2019-11-26 南开大学 固态锂电池石榴石型固态电解质材料的制备及表面处理方法与应用
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202129832A (zh) * 2020-01-21 2021-08-01 荷蘭商Asm Ip 控股公司 用於均勻沉積之具有側壁***的基座及處理結晶基材之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022020507A1 (en) * 2020-07-23 2022-01-27 Lam Research Corporation Advanced self aligned multiple patterning using tin oxide
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022163526A (ja) 2021-04-14 2022-10-26 東京エレクトロン株式会社 基板処理方法
KR20230170872A (ko) * 2021-04-21 2023-12-19 램 리써치 코포레이션 주석 옥사이드 챔버 세정 시간 최소화
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113481485B (zh) * 2021-07-13 2023-09-05 南方科技大学 锡氧化物薄膜及其制备方法、太阳能电池及其制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20240006188A1 (en) 2022-06-29 2024-01-04 Tokyo Electron Limited Plasma processing method and plasma processing system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060148118A1 (en) 2003-12-31 2006-07-06 Chung Yuan Christian University Fabrication of array pH sensitive EGFET and its readout circuit
JP2015122497A (ja) * 2013-12-20 2015-07-02 シーゲイト テクノロジー エルエルシー 側壁保護のための装置および側壁保護のための方法

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778562A (en) 1984-08-13 1988-10-18 General Motors Corporation Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen
US4544444A (en) 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
JPS62136579A (ja) 1985-12-09 1987-06-19 Victor Co Of Japan Ltd エツチング方法
JPS62179774A (ja) * 1986-02-04 1987-08-06 Fujitsu Ltd イメ−ジセンサの製造方法
US4708766A (en) * 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
JP2644758B2 (ja) 1987-07-22 1997-08-25 株式会社日立製作所 レジスト除去方法及び装置
JP3001891B2 (ja) 1987-10-01 2000-01-24 グンゼ株式会社 透明導電膜のエッチング方法及びその装置
FR2640809B1 (fr) 1988-12-19 1993-10-22 Chouan Yannick Procede de gravure d'une couche d'oxyde metallique et depot simultane d'un film de polymere, application de ce procede a la fabrication d'un transistor
US4878993A (en) 1988-12-22 1989-11-07 North American Philips Corporation Method of etching thin indium tin oxide films
JP2521815B2 (ja) 1989-08-17 1996-08-07 沖電気工業株式会社 透明導電膜のエッチング方法
US5032221A (en) 1990-05-07 1991-07-16 Eastman Kodak Company Etching indium tin oxide
US5171401A (en) 1990-06-04 1992-12-15 Eastman Kodak Company Plasma etching indium tin oxide
US5318664A (en) 1990-06-25 1994-06-07 General Electric Company Patterning of indium-tin oxide via selective reactive ion etching
JPH05267701A (ja) 1992-03-18 1993-10-15 Taiyo Yuden Co Ltd 酸化錫透明導電膜のパターニング方法
US5286337A (en) 1993-01-25 1994-02-15 North American Philips Corporation Reactive ion etching or indium tin oxide
KR0135165B1 (ko) 1993-10-15 1998-04-22 윤정환 다층레지스트를 이용한 패턴형성방법
US5723366A (en) 1994-09-28 1998-03-03 Sanyo Electric Co. Ltd. Dry etching method, method of fabricating semiconductor device, and method of fabricating liquid crystal display device
US5607602A (en) 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
JP4127869B2 (ja) * 1995-09-28 2008-07-30 三井化学株式会社 乾式エッチング方法
US5667631A (en) 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor
US6036876A (en) 1997-06-25 2000-03-14 Applied Komatsu Technology, Inc. Dry-etching of indium and tin oxides
US20010008227A1 (en) 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
GB9726511D0 (en) 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
US6368978B1 (en) 1999-03-04 2002-04-09 Applied Materials, Inc. Hydrogen-free method of plasma etching indium tin oxide
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100447263B1 (ko) 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
US6789910B2 (en) 2000-04-12 2004-09-14 Semiconductor Energy Laboratory, Co., Ltd. Illumination apparatus
JP2003535458A (ja) * 2000-04-25 2003-11-25 東京エレクトロン株式会社 加工物のプラズマクリーニング方法とその装置
US6580475B2 (en) 2000-04-27 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6750394B2 (en) 2001-01-12 2004-06-15 Sharp Kabushiki Kaisha Thin-film solar cell and its manufacturing method
US6623653B2 (en) 2001-06-12 2003-09-23 Sharp Laboratories Of America, Inc. System and method for etching adjoining layers of silicon and indium tin oxide
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
WO2004040649A1 (ja) * 2002-11-01 2004-05-13 Semiconductor Energy Laboratory Co., Ltd. 半導体装置および半導体装置の作製方法
KR100574952B1 (ko) 2003-11-04 2006-05-02 삼성전자주식회사 스플릿 게이트형 비휘발성 반도체 메모리 소자 제조방법
JP4655939B2 (ja) * 2004-02-09 2011-03-23 旭硝子株式会社 透明電極の製造方法
US7355672B2 (en) 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1707952A1 (de) 2005-03-31 2006-10-04 Micronas GmbH Gassensitiver Feldeffekttransistor mit Luftspalt und Verfahren zu dessen Herstellung
DE102005031469A1 (de) * 2005-07-04 2007-01-11 Merck Patent Gmbh Medium zur Ätzung von oxidischen, transparenten, leitfähigen Schichten
US7561247B2 (en) 2005-08-22 2009-07-14 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US8317929B2 (en) 2005-09-16 2012-11-27 Asml Netherlands B.V. Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus
US7372058B2 (en) 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
KR20070076721A (ko) * 2006-01-19 2007-07-25 삼성전자주식회사 웨이퍼의 박막 형성 공정 개선 방법
JP4609335B2 (ja) * 2006-02-02 2011-01-12 富士電機システムズ株式会社 炭化珪素半導体基板のドライエッチング方法
US20080061030A1 (en) 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for patterning indium tin oxide films
US7833893B2 (en) * 2007-07-10 2010-11-16 International Business Machines Corporation Method for forming conductive structures
KR100955265B1 (ko) * 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
KR20100098409A (ko) 2007-11-22 2010-09-06 간또 가가꾸 가부시끼가이샤 에칭액 조성물
KR20100103493A (ko) 2007-12-06 2010-09-27 인테벡, 인코포레이티드 패터닝된 미디어의 상업적 제조를 위한 시스템 및 방법
US8247315B2 (en) 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
FR2936651B1 (fr) * 2008-09-30 2011-04-08 Commissariat Energie Atomique Dispositif optoelectronique organique et son procede d'encapsulation.
JP5446648B2 (ja) 2008-10-07 2014-03-19 信越化学工業株式会社 パターン形成方法
KR20100044029A (ko) 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20100052598A (ko) 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
KR101751661B1 (ko) 2008-12-19 2017-06-27 가부시키가이샤 한도오따이 에네루기 켄큐쇼 트랜지스터의 제작 방법
JP5356516B2 (ja) 2009-05-20 2013-12-04 株式会社東芝 凹凸パターン形成方法
US8163094B1 (en) 2009-07-23 2012-04-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method to improve indium bump bonding via indium oxide removal using a multi-step plasma process
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US9111775B2 (en) 2011-01-28 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Silicon structure and manufacturing methods thereof and of capacitor including silicon structure
KR20120125102A (ko) * 2011-05-06 2012-11-14 한국화학연구원 원자층 증착법을 이용한 주석산화물 박막의 제조방법
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
TWI479663B (zh) 2011-12-22 2015-04-01 Au Optronics Corp 陣列基板及其製作方法
JP6015893B2 (ja) 2012-02-28 2016-10-26 国立研究開発法人産業技術総合研究所 薄膜トランジスタの製造方法
WO2013141232A1 (ja) 2012-03-23 2013-09-26 三洋電機株式会社 太陽電池及びその製造方法
US10861978B2 (en) 2012-04-02 2020-12-08 Samsung Display Co., Ltd. Display device
US8987047B2 (en) 2012-04-02 2015-03-24 Samsung Display Co., Ltd. Thin film transistor, thin film transistor array panel including the same, and method of manufacturing the same
US20150140726A1 (en) 2012-07-10 2015-05-21 Sharp Kabushiki Kaisha Method for manufacturing semiconductor device
WO2014039420A1 (en) 2012-09-04 2014-03-13 Matheson Tri-Gas, Inc. In-situ tco chamber clean
JP2014086500A (ja) 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
TWI539626B (zh) 2012-12-21 2016-06-21 鴻海精密工業股份有限公司 發光二極體及其製造方法
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
KR20150012540A (ko) 2013-07-25 2015-02-04 삼성디스플레이 주식회사 유기발광표시장치의 제조방법.
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150247238A1 (en) * 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9209038B2 (en) 2014-05-02 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9285673B2 (en) 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US9515156B2 (en) 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
US11114742B2 (en) 2014-11-25 2021-09-07 View, Inc. Window antennas
TWI633596B (zh) 2015-01-14 2018-08-21 聯華電子股份有限公司 形成溝渠的方法
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
TWI625784B (zh) 2015-04-02 2018-06-01 東京威力科創股份有限公司 藉由雙頻率電容耦合式電漿利用極紫外線光阻劑之溝槽與孔的圖案化
WO2016172116A1 (en) 2015-04-20 2016-10-27 Board Of Regents, The University Of Texas System Fabricating large area multi-tier nanostructures
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10049892B2 (en) 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
TWI610361B (zh) 2015-06-26 2018-01-01 東京威力科創股份有限公司 具有可控制的含矽抗反射塗層或矽氮氧化物相對於不同薄膜或遮罩之蝕刻選擇性的氣相蝕刻
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
TWI661466B (zh) 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US20220005694A1 (en) 2016-06-28 2022-01-06 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
JP2018160556A (ja) 2017-03-23 2018-10-11 三菱電機株式会社 薄膜トランジスタ基板、薄膜トランジスタ基板の製造方法、液晶表示装置、および薄膜トランジスタ
US11075079B2 (en) 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
JP7334166B2 (ja) 2018-01-30 2023-08-28 ラム リサーチ コーポレーション パターニングにおける酸化スズマンドレル
WO2019182872A1 (en) 2018-03-19 2019-09-26 Lam Research Corporation Chamfer-less via integration scheme
US20210249273A1 (en) 2018-05-08 2021-08-12 Sony Semiconductor Solutions Corporation Etching method of oxide semiconductor film, oxide semiconductor workpiece, and electronic device
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10867804B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
WO2020263757A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Alternating etch and passivation process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060148118A1 (en) 2003-12-31 2006-07-06 Chung Yuan Christian University Fabrication of array pH sensitive EGFET and its readout circuit
JP2015122497A (ja) * 2013-12-20 2015-07-02 シーゲイト テクノロジー エルエルシー 側壁保護のための装置および側壁保護のための方法

Also Published As

Publication number Publication date
CN112701029A (zh) 2021-04-23
KR20220099524A (ko) 2022-07-13
SG10201704783YA (en) 2018-01-30
TWI824577B (zh) 2023-12-01
KR102533971B1 (ko) 2023-05-18
TWI770033B (zh) 2022-07-11
JP7096537B2 (ja) 2022-07-06
US9824893B1 (en) 2017-11-21
KR102416350B1 (ko) 2022-07-01
US11784047B2 (en) 2023-10-10
US20210242019A1 (en) 2021-08-05
US20180012759A1 (en) 2018-01-11
JP7414891B2 (ja) 2024-01-16
CN111769038A (zh) 2020-10-13
TW201812834A (zh) 2018-04-01
JP2018006742A (ja) 2018-01-11
TW202240656A (zh) 2022-10-16
SG10202012976TA (en) 2021-01-28
KR20200034699A (ko) 2020-03-31
US11183383B2 (en) 2021-11-23
JP2022120077A (ja) 2022-08-17
CN107546106B (zh) 2020-12-25
KR20230074079A (ko) 2023-05-26
CN107546106A (zh) 2018-01-05
US20200219725A1 (en) 2020-07-09
JP2024029147A (ja) 2024-03-05
US11031245B2 (en) 2021-06-08
KR20180002026A (ko) 2018-01-05

Similar Documents

Publication Publication Date Title
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
CN111886689A (zh) 无倒角通孔集成方案
KR20230024396A (ko) 챔버 세정에서 주석 옥사이드의 제거
KR20200101466A (ko) 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱
JP7396998B2 (ja) 炭素膜の原子層堆積
TW202416348A (zh) 在半導體裝置製造中之錫氧化物薄膜間隔件
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant