KR20210054988A - 처리 방법 - Google Patents

처리 방법 Download PDF

Info

Publication number
KR20210054988A
KR20210054988A KR1020200139990A KR20200139990A KR20210054988A KR 20210054988 A KR20210054988 A KR 20210054988A KR 1020200139990 A KR1020200139990 A KR 1020200139990A KR 20200139990 A KR20200139990 A KR 20200139990A KR 20210054988 A KR20210054988 A KR 20210054988A
Authority
KR
South Korea
Prior art keywords
pressure
processing container
gas
plasma
processing
Prior art date
Application number
KR1020200139990A
Other languages
English (en)
Inventor
히데오미 하네
다케시 오야마
겐타로 오시모
유스케 스즈키
준 오가와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20210054988A publication Critical patent/KR20210054988A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)

Abstract

본 개시의 일 양태에 의한 처리 방법은, 처리 용기 내에서 퍼지 가스의 플라스마를 생성한 상태에서 상기 처리 용기 내의 압력을 변화시키는 공정이며, 생성한 상기 플라스마에 의해 상기 처리 용기 내에 부착된 막을 제거하는 공정을 갖는다.

Description

처리 방법{PROCESSING METHOD}
본 개시는, 처리 방법에 관한 것이다.
마이크로파의 플라스마를 사용한 ALD 방식의 성막 장치가 알려져 있다(예를 들어, 특허문헌 1 참조).
일본 특허 공개 제2016-115814호 공보
본 개시는, 성막 처리 시의 파티클의 발생을 억제할 수 있는 기술을 제공한다.
본 개시의 일 양태에 의한 처리 방법은, 처리 용기 내에서 퍼지 가스의 플라스마를 생성한 상태에서 상기 처리 용기 내의 압력을 변화시키는 공정이며, 생성한 상기 플라스마에 의해 상기 처리 용기 내에 부착된 막을 제거하는 공정을 갖는다.
본 개시에 의하면, 성막 처리 시의 파티클의 발생을 억제할 수 있다.
도 1은, 성막 장치의 일례를 도시하는 단면도
도 2는, 도 1의 성막 장치의 상면도
도 3은, 도 1에 있어서의 축선 X의 좌측 부분의 일례를 도시하는 확대도
도 4는, 도 1에 있어서의 축선 X의 좌측 부분의 일례를 도시하는 확대도
도 5는, 유닛의 하면의 일례를 도시하는 도면
도 6은, 도 1에 있어서의 축선 X의 우측 부분의 일례를 도시하는 확대도
도 7은, 일 실시 형태의 처리 방법의 일례를 도시하는 흐름도
도 8은, 플라스마 퍼지 처리의 시퀀스의 일례를 도시하는 도면
도 9는, 플라스마 퍼지 처리의 시퀀스의 다른 예를 도시하는 도면
도 10은, 플라스마의 발광 강도 분포를 도시하는 도면
도 11은, 파티클 수의 평가 결과의 일례를 도시하는 도면
도 12는, 파티클 수의 평가 결과의 다른 예를 도시하는 도면
이하, 첨부의 도면을 참조하면서, 본 개시의 한정적이지 않은 예시의 실시 형태에 대하여 설명한다. 첨부의 전체 도면 중, 동일하거나 또는 대응하는 부재 또는 부품에 대해서는, 동일하거나 또는 대응하는 참조 부호를 붙이고, 중복하는 설명을 생략한다.
〔성막 장치〕
도 1은, 성막 장치(10)의 일례를 도시하는 단면도이다. 도 2는, 상방으로부터 본 경우의 성막 장치(10)의 일례를 도시하는 모식도이다. 도 2에 있어서의 A-A 단면이 도 1이다. 도 3 및 도 4는, 도 1에 있어서의 축선 X의 좌측 부분의 일례를 도시하는 확대 단면도이다. 도 5는, 유닛 U의 하면의 일례를 도시하는 도면이다. 도 6은, 도 1에 있어서의 축선 X의 우측 부분의 일례를 도시하는 확대 단면도이다. 도 1 내지 도 6에 도시하는 성막 장치(10)는 주로, 처리 용기(12), 적재대(14), 제1 가스 공급부(16), 배기부(18), 제2 가스 공급부(20) 및 플라스마 생성부(22)를 구비한다.
도 1에 도시한 바와 같이, 처리 용기(12)는 하부 부재(12a) 및 상부 부재(12b)를 갖는다. 하부 부재(12a)는, 상방이 개구된 대략 통 형상을 갖고, 처리실 C를 형성하는 측벽 및 저벽을 포함하는 오목부를 형성한다. 상부 부재(12b)는, 대략 통 형상을 갖는 덮개이고, 하부 부재(12a)의 오목부의 상부 개구를 덮어 폐쇄함으로써 처리실 C를 형성한다. 하부 부재(12a)와 상부 부재(12b) 사이의 외주부에는, 처리실 C를 밀폐하기 위한 탄성 밀봉 부재, 예를 들어 O링이 마련된다.
성막 장치(10)는, 처리 용기(12)에 의해 형성되는 처리실 C의 내부에, 적재대(14)를 구비한다. 적재대(14)는, 구동 기구(24)에 의해 축선 X를 중심으로 회전 구동된다. 구동 기구(24)는, 모터 등의 구동 장치(24a) 및 회전축(24b)을 갖고, 처리 용기(12)의 하부 부재(12a)에 설치된다.
회전축(24b)은, 축선 X를 중심 축선으로 하고, 처리실 C의 내부까지 연장된다. 회전축(24b)은, 구동 장치(24a)로부터 전달되는 구동력에 의해 축선 X를 중심으로 회전한다. 적재대(14)는, 중앙 부분이 회전축(24b)에 의해 지지된다. 이에 의해, 적재대(14)는 축선 X를 중심으로, 회전축(24b)의 회전에 따라서 회전한다. 또한, 처리 용기(12)의 하부 부재(12a)와 구동 기구(24) 사이에는, 처리실 C를 밀폐하는 예를 들어 O링 등의 탄성 밀봉 부재가 마련된다.
성막 장치(10)는, 처리실 C 내부의 적재대(14)의 하방에, 적재대(14)에 적재된 기판 W를 가열하기 위한 히터(26)를 구비한다. 구체적으로는, 히터(26)는, 적재대(14)를 가열함으로써 기판 W를 가열한다. 기판 W는, 예를 들어 실리콘 웨이퍼 등의 반도체 웨이퍼이면 된다.
처리 용기(12)는, 예를 들어 도 2에 도시한 바와 같이, 축선 X를 중심축으로 하는 대략 원통상의 용기이고, 내부에 처리실 C를 구비한다. 처리실 C에는, 분사부(16a)를 구비한 유닛 U가 마련된다. 처리 용기(12)는, 예를 들어 알루마이트 처리 또는 Y2O3(산화이트륨)의 용사 처리 등의 내플라스마 처리가 내면에 실시된 Al(알루미늄) 등의 금속으로 형성된다. 성막 장치(10)는, 처리 용기(12) 내에 복수의 플라스마 생성부(22)를 갖는다.
각각의 플라스마 생성부(22)는, 처리 용기(12)의 상부에, 마이크로파를 출력하는 복수의 안테나(22a-1 내지 22a-3)를 구비한다. 본 실시 형태에 있어서, 각각의 안테나(22a)의 외형은, 모서리가 둥글게 된 대략 삼각 형상이다. 도 2에 있어서, 처리 용기(12)의 상부에는 3개의 안테나(22a-1 내지 22a-3)가 마련되어 있지만, 안테나(22a)의 수는 이것에 한정되지 않고, 2개 이하여도 되고, 4개 이상이어도 된다.
성막 장치(10)는, 예를 들어 도 2에 도시한 바와 같이, 상면에 복수의 기판 적재 영역(14a)을 갖는 적재대(14)를 구비한다. 적재대(14)는, 축선 X를 중심축으로 하는 대략 원판상의 부재이다. 적재대(14)의 상면에는, 기판 W를 적재하는 기판 적재 영역(14a)이, 축선 X를 중심으로 하여 동심원상으로 복수(도 2의 예에서는 5개) 형성되어 있다. 기판 W는 기판 적재 영역(14a) 내에 배치되고, 기판 적재 영역(14a)은 적재대(14)가 회전했을 때, 기판 W가 어긋나지 않도록 기판 W를 지지한다. 기판 적재 영역(14a)은, 대략 원상의 기판 W와 대략 동일 형상인 대략 원상의 오목부이다. 기판 적재 영역(14a)의 오목부의 직경은, 기판 적재 영역(14a)에 적재되는 기판 W의 직경 W1과 비교하여, 대략 동일하다. 즉, 기판 적재 영역(14a)의 오목부의 직경은, 적재되는 기판 W가 오목부에 끼워 맞춰져, 적재대(14)가 회전해도, 원심력에 의해 기판 W가 끼워 맞춤 위치로부터 이동하지 않도록 기판 W를 고정하는 정도이면 된다.
성막 장치(10)는, 처리 용기(12)의 외연에, 로봇 암 등의 반송 장치를 통해, 기판 W를 처리실 C로 반입하고, 기판 W를 처리실 C로부터 반출하기 위한 게이트 밸브 G를 구비한다. 또한, 성막 장치(10)는, 적재대(14)의 외연의 하방에, 적재대(14)의 주연을 따라 배기부(22h)를 구비한다. 배기부(22h)에는, 배기 장치(52)가 접속된다. 성막 장치(10)는, 배기 장치(52)의 동작을 제어하고, 배기 구멍으로부터 처리실 C 내의 가스를 배기함으로써, 처리실 C 내의 압력을 목적으로 하는 압력으로 유지한다.
처리실 C는, 예를 들어 도 2에 도시한 바와 같이, 축선 X를 중심으로 하는 원주 상에 배열된 제1 영역 R1 및 제2 영역 R2를 포함한다. 기판 적재 영역(14a)에 적재된 기판 W는, 적재대(14)의 회전에 수반하여, 제1 영역 R1 및 제2 영역 R2를 차례로 통과한다. 본 실시 형태에 있어서, 도 2에 도시한 적재대(14)는, 위로부터 본 경우에 예를 들어 시계 방향으로 회전한다.
제1 가스 공급부(16)는, 예를 들어 도 3 및 도 4에 도시한 바와 같이, 내측 가스 공급부(161), 중간 가스 공급부(162) 및 외측 가스 공급부(163)를 갖는다. 또한, 제1 영역 R1의 상방에는, 예를 들어 도 3 및 도 4에 도시한 바와 같이, 적재대(14)의 상면에 대면하도록, 가스의 공급, 퍼지 및 배기를 행하는 유닛 U가 마련된다. 유닛 U는, 제1 부재 M1, 제2 부재 M2, 제3 부재 M3 및 제4 부재 M4가 순차 적층된 구조를 갖는다. 유닛 U는, 처리 용기(12)의 상부 부재(12b)의 하면에 맞닿도록 처리 용기(12)에 설치된다.
유닛 U에는, 예를 들어 도 3 및 도 4에 도시한 바와 같이, 제2 부재 M2 내지 제4 부재 M4를 관통하는 가스 공급로(161p), 가스 공급로(162p) 및 가스 공급로(163p)가 형성되어 있다. 가스 공급로(161p)는, 상단이 처리 용기(12)의 상부 부재(12b)에 마련된 가스 공급로(121p)에 접속된다. 가스 공급로(121p)에는, 밸브(161v) 및 매스 플로우 컨트롤러 등의 유량 제어기(161c)를 개재하여, 전구체 가스의 가스 공급원(16g)이 접속된다. 또한, 가스 공급로(161p)의 하단은, 제1 부재 M1과, 제2 부재 M2 사이에 형성되고, 예를 들어 O링 등의 탄성 부재(161b)로 둘러싸인 버퍼 공간(161d)에 접속된다. 버퍼 공간(161d)에는, 제1 부재 M1에 마련된 내측 분사부(161a)의 분사구(16h)가 접속된다.
또한, 가스 공급로(162p)는, 상단이 처리 용기(12)의 상부 부재(12b)에 마련된 가스 공급로(122p)에 접속된다. 가스 공급로(122p)에는, 밸브(162v) 및 유량 제어기(162c)를 개재하여, 가스 공급원(16g)이 접속된다. 또한, 가스 공급로(162p)의 하단은, 제1 부재 M1과, 제2 부재 M2 사이에 형성되고, 예를 들어 O링 등의 탄성 부재(162b)로 둘러싸인 버퍼 공간(162d)에 접속된다. 버퍼 공간(162d)에는, 제1 부재 M1에 마련된 중간 분사부(162a)의 분사구(16h)가 접속된다.
또한, 가스 공급로(163p)는, 상단이 처리 용기(12)의 상부 부재(12b)에 마련된 가스 공급로(123p)에 접속된다. 가스 공급로(123p)에는, 밸브(163v) 및 유량 제어기(163c)를 개재하여, 가스 공급원(16g)이 접속된다. 또한, 가스 공급로(163p)의 하단은, 제1 부재 M1과, 제2 부재 M2 사이에 형성되고, 예를 들어 O링 등의 탄성 부재(163b)로 둘러싸인 버퍼 공간(163d)에 접속된다. 버퍼 공간(163d)에는, 제1 부재 M1에 마련된 외측 분사부(163a)의 분사구(16h)가 접속된다.
내측 가스 공급부(161)의 버퍼 공간(161d), 중간 가스 공급부(162)의 버퍼 공간(162d) 및 외측 가스 공급부(163)의 버퍼 공간(163d)은, 예를 들어 도 3 및 도 4에 도시한 바와 같이, 독립한 공간을 형성한다. 그리고, 각각의 버퍼 공간을 통하는 전구체 가스의 유량은, 유량 제어기(161c), 유량 제어기(162c) 및 유량 제어기(163c)에 의해 각각 독립적으로 제어된다.
유닛 U에는, 예를 들어 도 3 및 도 4에 도시한 바와 같이, 제4 부재 M4를 관통하는 가스 공급로(20r)가 형성된다. 가스 공급로(20r)는, 상단이 처리 용기(12)의 상부 부재(12b)에 마련된 가스 공급로(12r)에 접속된다. 가스 공급로(12r)에는, 밸브(20v) 및 유량 제어기(20c)를 개재하여, 퍼지 가스의 가스 공급원(20g)이 접속된다.
가스 공급로(20r)의 하단은, 제4 부재 M4의 하면과 제3 부재 M3의 상면 사이에 마련된 공간(20d)에 접속된다. 또한, 제4 부재 M4에는, 제1 부재 M1 내지 제3 부재 M3을 수용하는 오목부가 형성된다. 오목부를 형성하는 제4 부재 M4의 내측면과, 제3 부재 M3의 외측면 사이에는 갭(20p)이 마련된다. 갭(20p)은, 공간(20d)에 접속된다. 갭(20p)의 하단은, 분사구(20a)로서 기능한다.
유닛 U에는, 예를 들어 도 3 및 도 4에 도시한 바와 같이, 제3 부재 M3 및 제4 부재 M4를 관통하는 배기로(18q)가 형성된다. 배기로(18q)는, 상단이 처리 용기(12)의 상부 부재(12b)에 마련된 배기로(12q)와 접속된다. 배기로(12q)는, 진공 펌프 등의 배기 장치(34)에 접속된다. 또한, 배기로(18q)는, 하단이 제3 부재 M3의 하면과, 제2 부재 M2의 상면 사이에 마련된 공간(18d)에 접속된다.
제3 부재 M3은, 제1 부재 M1 및 제2 부재 M2를 수용하는 오목부를 구비한다. 제3 부재 M3이 구비하는 오목부를 구성하는 제3 부재 M3의 내측면과, 제1 부재 M1 및 제2 부재 M2의 외측면 사이에는, 갭(18g)이 마련된다. 공간(18d)은, 갭(18g)에 접속된다. 갭(18g)의 하단은, 배기구(18a)로서 기능한다.
유닛 U의 하면, 즉, 적재대(14)과 대향하는 면에는, 예를 들어 도 5에 도시한 바와 같이, 축선 X로부터 이격되는 방향인 Y축 방향을 따라, 분사부(16a)가 마련된다. 처리실 C에 포함되는 영역 중 분사부(16a)에 대면하는 영역이 제1 영역 R1이다. 분사부(16a)는 적재대(14) 상의 기판 W에 전구체 가스를 분사한다. 분사부(16a)는, 예를 들어 도 5에 도시한 바와 같이, 내측 분사부(161a), 중간 분사부(162a) 및 외측 분사부(163a)를 갖는다.
내측 분사부(161a)는, 예를 들어 도 5에 도시한 바와 같이, 축선 X로부터의 거리가 r1 내지 r2의 범위에 있는 환상의 영역 중, 유닛 U의 하면에 포함되는 영역인 내측 환상 영역 A1 내에 형성되어 있다. 또한, 중간 분사부(162a)는, 축선 X로부터의 거리가 r2 내지 r3의 범위에 있는 환상의 영역 중, 유닛 U의 하면에 포함되는 영역인 중간 환상 영역 A2 내에 형성되어 있다. 또한, 외측 분사부(163a)는, 축선 X로부터의 거리가 r3 내지 r4의 범위에 있는 환상의 영역 중, 유닛 U의 하면에 포함되는 영역인 외측 환상 영역 A3 내에 형성되어 있다.
분사부(16a)가, Y축 방향으로 연장하는 범위인 r1로부터 r4까지의 길이 L은, 예를 들어 도 5에 도시한 바와 같이, 직경 W1의 기판 W가 Y축을 통과하는 길이보다도, 축선 X측의 방향으로 소정 거리 ΔL 이상 길고, 축선 X측과 반대인 방향으로 소정 거리 ΔL 이상 길다.
내측 분사부(161a), 중간 분사부(162a) 및 외측 분사부(163a)는, 예를 들어 도 5에 도시한 바와 같이, 복수의 분사구(16h)를 구비한다. 전구체 가스는, 각각의 분사구(16h)로부터 제1 영역 R1로 분사된다. 내측 분사부(161a), 중간 분사부(162a) 및 외측 분사부(163a)의 각각의 분사구(16h)로부터 제1 영역 R1로 분사되는 전구체 가스의 유량은, 유량 제어기(161c), 유량 제어기(162c) 및 유량 제어기(163c)에 의해, 각각 독립적으로 제어된다. 전구체 가스가 제1 영역 R1에 공급됨으로써, 제1 영역 R1을 통과한 기판 W의 표면에, 전구체 가스의 원자 또는 분자가 흡착된다. 전구체 가스에는, Si-Cl 결합을 갖는 분자를 포함하는 가스를 사용할 수 있다. Si-Cl 결합을 갖는 분자를 포함하는 가스로서는, 예를 들어 DCS(디클로로실란), 모노클로로실란, 트리클로로실란, 헥사클로로디실란, 사염화규소 등의 가스를 사용할 수 있다. 또한, 이 밖에, 전구체 가스에는, 예를 들어 SiH4(모노실란) 가스 등, 규소를 함유하는 가스를 사용할 수도 있다.
제1 영역 R1의 상방에는, 예를 들어 도 3 및 도 4에 도시한 바와 같이, 적재대(14)의 상면에 대면하도록, 배기부(18)의 배기구(18a)가 마련되어 있다. 배기구(18a)는, 예를 들어 도 5에 도시한 바와 같이, 분사부(16a)의 주위를 둘러싸도록, 유닛 U의 하면에 형성되어 있다. 배기구(18a)는 진공 펌프 등의 배기 장치(34)의 동작에 의해, 배기구(18a)를 통해 처리실 C 내의 가스를 배기한다.
제1 영역 R1의 상방에는, 예를 들어 도 3 및 도 4에 도시한 바와 같이, 적재대(14)의 상면에 대면하도록, 제2 가스 공급부(20)의 분사구(20a)가 마련되어 있다. 분사구(20a)는, 예를 들어 도 5에 도시한 바와 같이, 배기구(18a)의 주위를 둘러싸도록, 유닛 U의 하면에 형성되어 있다. 제2 가스 공급부(20)는, 분사구(20a)를 통해 제1 영역 R1로 퍼지 가스를 분사한다. 제2 가스 공급부(20)에 의해 분사되는 퍼지 가스는, 예를 들어 Ar(아르곤) 등의 불활성 가스이다. 퍼지 가스가 기판 W의 표면에 분사됨으로써, 기판 W에 과잉으로 흡착한 전구체 가스의 원자 또는 분자(잔류 가스 성분)가 기판 W로부터 제거된다. 이에 의해, 기판 W의 표면에, 전구체 가스의 원자 또는 분자가 흡착된 원자층 또는 분자층이 형성된다.
유닛 U는, 분사구(20a)로부터 퍼지 가스를 분사하고, 배기구(18a)로부터 적재대(14)의 표면을 따라 퍼지 가스를 배기한다. 이에 의해, 유닛 U는, 제1 영역 R1에 공급된 전구체 가스가 제1 영역 R1 밖으로 누출되는 것을 억제한다. 또한, 유닛 U는, 분사구(20a)로부터 퍼지 가스를 분사하여 배기구(18a)로부터 적재대(14)의 면을 따라 퍼지 가스를 배기함으로써, 제2 영역 R2에 공급되는 반응 가스 또는 반응 가스의 라디칼 등이 제1 영역 R1 내에 침입하는 것을 억제한다. 즉, 유닛 U는, 제2 가스 공급부(20)로부터의 퍼지 가스의 분사 및 배기부(18)로부터의 배기에 의해, 제1 영역 R1과, 제2 영역 R2를 분리한다.
성막 장치(10)는, 예를 들어 도 6에 도시하는 바와 같이, 제2 영역 R2의 상방에 있는 상부 부재(12b)의 개구 AP에, 적재대(14)의 상면에 대면하도록 마련된 플라스마 생성부(22)를 구비한다. 플라스마 생성부(22)는 안테나(22a)와, 안테나(22a)에 마이크로파를 공급하는 동축 도파관(22b)과, 제2 영역 R2에 반응 가스를 공급하는 반응 가스 공급부(22c)를 갖는다. 본 실시 형태에 있어서, 상부 부재(12b)에는 예를 들어 3개의 개구 AP가 형성되고, 성막 장치(10)는, 예를 들어 3개의 안테나(22a-1 내지 22a-3)를 구비한다.
플라스마 생성부(22)는, 안테나(22a) 및 동축 도파관(22b)으로부터 제2 영역 R2에 마이크로파를 공급하고, 반응 가스 공급부(22c)로부터 제2 영역 R2에 반응 가스를 공급함으로써, 제2 영역 R2에 있어서 반응 가스의 플라스마를 생성한다. 그리고, 플라스마 생성부(22)는, 기판 W의 표면에 흡착한 원자층 또는 분자층에 대하여 플라스마 처리를 실시한다. 본 실시 형태에 있어서, 반응 가스로서는 질소 원자 및 수소 원자를 함유하는 가스가 사용되고, 플라스마 생성부(22)는, 기판 W에 흡착된 원자층 또는 분자층을 질화시킨다. 반응 가스로서는, 예를 들어 N2(질소) 가스와 H2(수소) 가스의 혼합 가스 또는 NH3(암모니아) 가스와 H2 가스의 혼합 가스 등을 사용할 수 있다. 또한, 반응 가스는, 후술하는 처리 방법에 있어서의 퍼지 가스로서도 기능한다.
플라스마 생성부(22)는, 예를 들어 도 6에 도시하는 바와 같이, 개구 AP를 폐색하도록 안테나(22a)를 기밀하게 배치한다. 안테나(22a)는, 천장판(40), 슬롯판(42) 및 지파판(44)을 갖는다. 천장판(40)은 유전체로 형성된 각이 둥근 대략 정삼각 형상의 부재이고, 예를 들어 알루미나 세라믹스 등으로 형성된다. 천장판(40)은, 그 하면이 처리 용기(12)의 상부 부재(12b)에 형성된 개구 AP로부터 제2 영역 R2에 노출되도록 상부 부재(12b)에 의해 지지되어 있다.
천장판(40)의 상면에는, 슬롯판(42)이 마련된다. 슬롯판(42)은, 대략 정삼각 형상으로 형성된 판상의 금속제 부재이다. 슬롯판(42)에는, 복수의 슬롯 쌍이 형성되어 있다. 각 슬롯 쌍에는, 서로 직교하는 2개의 슬롯 구멍이 포함되어 있다.
슬롯판(42)의 상면에는 지파판(44)이 마련되어 있다. 지파판(44)은, 예를 들어 알루미나 세라믹스 등의 유전체에 의해, 대략 정삼각 형상으로 형성된다. 지파판(44)에는, 동축 도파관(22b)의 외측 도체(62b)를 배치하기 위한 대략 원통상 개구가 마련된다.
지파판(44)의 상면에는 금속제의 냉각 플레이트(46)가 마련된다. 냉각 플레이트(46)는, 그 내부에 형성된 유로(46a)를 유통하는 냉매에 의해, 지파판(44)을 개재하여 안테나(22a)를 냉각한다. 유로(46a)에는, 칠러(48)에 의해 온도 조정된 냉매가 공급된다. 냉매는, 예를 들어 갈덴(등록 상표) 등의 불소계 불활성 액체이면 된다. 냉각 플레이트(46)는, 도시하지 않은 스프링 등에 의해 지파판(44)의 상면에 압박되어 있고, 냉각 플레이트(46)의 하면은, 지파판(44)의 상면에 밀착하고 있다.
동축 도파관(22b)은, 내측 도체(62a) 및 외측 도체(62b)를 구비한다. 내측 도체(62a)는, 안테나(22a)의 상방으로부터 지파판(44)의 개구 및 슬롯판(42)의 개구를 관통한다. 외측 도체(62b)는, 내측 도체(62a)의 외주면과, 외측 도체(62b)의 내주면 사이에 간극을 두고, 내측 도체(62a)를 둘러싸도록 마련된다. 외측 도체(62b)의 하단은, 냉각 플레이트(46)의 개구부에 접속된다. 또한, 안테나(22a)는 전극으로서 기능해도 된다. 또는, 처리 용기(12) 내에 마련된 전극을 안테나(22a)로서 사용해도 된다.
성막 장치(10)는, 도파관(60) 및 마이크로파 발생기(68)를 갖는다. 마이크로파 발생기(68)가 발생시킨, 예를 들어 약 2.45GHz의 마이크로파는, 도파관(60)을 통해 동축 도파관(22b)에 전반하고, 내측 도체(62a)와 외측 도체(62b)의 간극을 전반한다. 그리고, 지파판(44) 내를 전반한 마이크로파는, 슬롯판(42)의 슬롯 구멍으로부터 천장판(40)으로 전반하고, 천장판(40)으로부터 제2 영역 R2로 방사된다.
제2 영역 R2에는, 반응 가스 공급부(22c)로부터 반응 가스가 공급된다. 반응 가스 공급부(22c)는 예를 들어 도 2에 도시한 바와 같이 복수의 내측 분사구(50b) 및 복수의 외측 분사구(51b)를 갖는다. 각각의 내측 분사구(50b)는, 예를 들어 도 6에 도시하는 바와 같이, 밸브(50v) 및 매스 플로우 컨트롤러 등의 유량 제어부(50c)를 개재하여, 반응 가스의 가스 공급원(50g)에 접속된다. 각각의 내측 분사구(50b)는, 예를 들어 도 6에 도시하는 바와 같이, 처리 용기(12)의 상부 부재(12b)의 하면에 마련된다.
각각의 내측 분사구(50b)는, 밸브(50v) 및 유량 제어부(50c)를 개재하여 가스 공급원(50g)으로부터 공급된 반응 가스를, 축선 X로부터 멀어지는 방향이며, 예를 들어 적재대(14)의 기판 적재 영역(14a)에 적재된 기판 W의 면과 병행인 방향으로 반응 가스를 분사한다.
각각의 외측 분사구(51b)는, 밸브(51v) 및 매스 플로우 컨트롤러 등의 유량 제어부(51c)를 개재하여, 반응 가스의 가스 공급원(50g)에 접속된다. 각각의 외측 분사구(51b)는, 예를 들어 도 6에 도시하는 바와 같이, 처리 용기(12)의 상부 부재(12b)의 하면에 마련된다. 각각의 외측 분사구(51b)는, 밸브(51v) 및 유량 제어부(51c)를 개재하여 가스 공급원(50g)으로부터 공급된 반응 가스를, 축선 X에 가까워지는 방향이며, 예를 들어 적재대(14)의 기판 적재 영역(14a)에 적재된 기판 W의 면과 병행인 방향으로 반응 가스를 분사한다.
또한, 본 실시 형태에 있어서, 내측 분사구(50b) 및 외측 분사구(51b)로부터 분사되는 반응 가스의 유량은, 유량 제어부(50c) 및 유량 제어부(51c)에 의해 각각 독립적으로 제어된다. 또한, 유량 제어부(50c) 및 유량 제어부(51c)는 안테나(22a)마다 마련되어도 되고, 내측 분사구(50b) 및 외측 분사구(51b)로부터 분사되는 반응 가스의 유량이, 안테나(22a)마다 독립적으로 제어되어도 된다.
플라스마 생성부(22)는, 복수의 내측 분사구(50b) 및 복수의 외측 분사구(51b)에 의해 제2 영역 R2에 반응 가스를 공급하고, 안테나(22a)에 의해 제2 영역 R2에 마이크로파를 방사한다. 이에 의해, 플라스마 생성부(22)는, 제2 영역 R2에 있어서 반응 가스의 플라스마를 생성한다. 그리고, 적재대(14)의 회전에 의해, 적재대(14) 상에 적재된 기판 W가, 제2 영역 R2에 있어서 생성된 반응 가스의 플라스마 아래를 통과한다. 이와 같이, 기판 W의 바로 위에 수소를 포함하는 반응 가스의 플라스마가 생성됨으로써, 고밀도의 수소 플라스마에 의해, 질화막에 불순물로서 혼입되어 버리는 Cl을 제거할 수 있다. 이에 의해, 기판 W 상에 불순물 성분이 적은 막을 형성할 수 있다. 불순물 성분이 적은 막은 압축성을 나타낸다.
적재대(14)의 주연에는, 예를 들어 도 2에 도시한 바와 같이, 배기부(22h)가 마련된다. 배기부(22h)는, 예를 들어 도 6에 도시한 바와 같이, 상부가 개구한 홈부(222)와, 홈부(222)의 상부에 마련되는 덮개부(221)를 갖는다. 홈부(222)는, 배기 장치(52)에 접속된다. 덮개부(221)는, 예를 들어 도 2에 도시한 배기 영역(220h)에 있어서 복수의 배기 구멍을 갖는다.
또한, 외측 분사구(51b)의 하방이며, 덮개부(221) 상에는, 스페이서(220)가 마련된다. 스페이서(220)는, 예를 들어 도 6에 도시한 바와 같이, 덮개부(221)의 상면으로부터 적재대(14)의 상면까지의 높이와 대략 동일한 두께를 갖는다. 스페이서(220)는, 외측 분사구(51b)의 하방에 있어서, 적재대(14)와 덮개부(221)의 단차에 의해 발생하는 가스의 유속 증가를 억제한다.
배기부(22h)는, 각각의 배기 영역(220h)에 있어서, 배기 장치(52)의 동작에 의해, 덮개부(221)에 마련된 복수의 배기 구멍으로부터 홈부(222)를 통해 처리실 C 내의 가스를 배기한다. 또한, 덮개부(221)에 마련된 배기 구멍은, 각각의 배기 영역(220h)으로부터의 배기량이 대략 동일해지도록, 각각의 배기 영역(220h)에 마련된 배기 구멍의 위치, 크기 및 수가 조정되고 있다.
성막 장치(10)는, 예를 들어 도 1에 도시한 바와 같이, 성막 장치(10)의 각 구성 요소를 제어하기 위한 제어부(70)를 구비한다. 제어부(70)는, CPU(Central Processing Unit) 등의 제어 장치, 메모리 등의 기억 장치, 입출력 장치 등을 구비하는 컴퓨터여도 된다. 제어부(70)는, 메모리에 기억된 제어 프로그램을 따라서 CPU가 동작함으로써, 성막 장치(10)의 각 구성 요소를 제어한다.
제어부(70)는, 적재대(14)의 회전 속도를 제어하는 제어 신호를 구동 장치(24a)로 송신한다. 또한, 제어부(70)는, 기판 W의 온도를 제어하는 제어 신호를 히터(26)에 접속된 전원에 송신한다. 또한, 제어부(70)는, 전구체 가스의 유량을 제어하는 제어 신호를 밸브(161v 내지 163v) 및 유량 제어기(161c 내지 163c)로 송신한다. 또한, 제어부(70)는, 배기구(18a)에 접속된 배기 장치(34)의 배기량을 제어하는 제어 신호를 배기 장치(34)로 송신한다.
또한, 제어부(70)는, 퍼지 가스의 유량을 제어하는 제어 신호를 밸브(20v) 및 유량 제어기(20c)로 송신한다. 또한, 제어부(70)는, 마이크로파의 송신 전력을 제어하는 제어 신호를 마이크로파 발생기(68)로 송신한다. 또한, 제어부(70)는, 반응 가스의 유량을 제어하는 제어 신호를 밸브(50v), 밸브(51v), 유량 제어부(50c) 및 유량 제어부(51c)로 송신한다. 또한, 제어부(70)는, 배기부(22h)로부터의 배기량을 제어하는 제어 신호를 배기 장치(52)로 송신한다.
상술한 바와 같이 구성된 성막 장치(10)에 의해, 제1 가스 공급부(16)로부터 전구체 가스가, 적재대(14)의 회전에 의해 이동하는 기판 W 상에 분사되어, 과잉으로 흡착된 전구체 가스가 제2 가스 공급부(20)에 의해 기판 W로부터 제거된다. 그리고, 적재대(14)의 회전에 의해 이동하는 기판 W는, 플라스마 생성부(22)에 의해 생성된 반응 가스의 플라스마에 노출된다. 적재대(14)의 회전에 의해, 기판 W에 대하여 상기 동작이 반복됨으로써, 성막 장치(10)는 기판 W에 소정의 두께의 막을 형성한다.
〔처리 방법〕
일 실시 형태의 성막 장치(10)에 의해 기판 W에 소정의 두께의 막을 형성하는 처리(이하 「성막 처리」라고 한다.)에 의해, 처리 용기(12) 내의 각 부, 예를 들어 안테나(22a)의 천장판(40)의 하면이나 적재대(14)의 상면에 부착되는 퇴적 막을 제거하는 처리 방법의 일례에 대하여 설명한다.
도 7은, 일 실시 형태의 처리 방법의 일례를 도시하는 흐름도이다. 도 7에 도시하는 처리 방법은, 예를 들어 성막 장치(10)에 있어서 처리 용기(12) 내의 각 부에 부착되는 퇴적 막의 누적 막 두께가 미리 정한 막 두께(예를 들어 5㎛)에 도달한 경우에 행하여진다. 도 7에 도시하는 처리 방법은, 예를 들어 처리 용기(12) 내에 기판 W를 수용한 상태에서 행하여져도 되고, 처리 용기(12) 내에 기판 W를 수용하지 않는 상태에서 행하여져도 된다. 도 7에 도시한 바와 같이, 일 실시 형태의 처리 방법은, 공정 S1 내지 S5를 포함한다.
공정 S1에서는, 제어부(70)는, 칠러(48)의 설정 온도를 제1 온도로부터 제2 온도로 변경한다. 이에 의해, 칠러(48)로부터 냉각 플레이트(46)의 내부에 형성된 유로(46a)에 유통시키는 냉매의 온도가 제1 온도로부터 제2 온도로 승온되고, 안테나(22a)의 천장판(40)의 온도가 높아진다. 제1 온도는, 예를 들어 성막 처리를 행할 때의 설정 온도이면 되고, 예를 들어 50 내지 70℃여도 된다. 제2 온도는, 제1 온도보다도 높은 온도이고, 예를 들어 70 내지 90℃여도 된다.
공정 S2는, 안테나(22a)의 천장판(40)의 온도를 안정화시키는 공정이다. 공정 S1의 시간은, 예를 들어 30 내지 70분이다.
공정 S3에서는, 제어부(70)는, 반응 가스 공급부(22c)로부터 퍼지 가스를 공급하고, 안테나(22a)로부터 마이크로파를 공급함으로써 처리 용기(12) 내에서 퍼지 가스의 플라스마를 생성하고, 생성한 플라스마에 의해 처리 용기(12) 내에 부착되는 퇴적 막을 제거한다. 이하, 반응 가스 공급부(22c)로부터 퍼지 가스를 공급하고, 안테나(22a)로부터 마이크로파를 공급함으로써 처리 용기(12) 내에서 퍼지 가스의 플라스마를 생성하고, 생성한 플라스마에 의해 처리 용기(12) 내에 부착되는 퇴적 막을 제거하는 처리를 플라스마 퍼지 처리라고 한다. 퇴적 막은, 성막 처리를 반복하여 실행함으로써, 처리 용기(12) 내의 각 부, 예를 들어 안테나(22a)의 천장판(40)의 하면이나 적재대(14)의 상면에 부착되는 부착물이다. 플라스마 퍼지 처리의 상세에 대해서는 후술한다. 또한, 공정 S3의 후, 제어부(70)는, 안테나(22a)로부터 마이크로파를 공급하지 않고, 처리 용기(12) 내의 퍼지 및 진공화를 반복하는 사이클 퍼지 처리를 실행해도 된다.
공정 S4에서는, 제어부(70)는, 칠러(48)의 설정 온도를 제2 온도로부터 제1 온도로 변경한다. 이에 의해, 칠러(48)로부터 냉각 플레이트(46)의 내부에 형성된 유로(46a)에 유통시키는 냉매의 온도가 제2 온도로부터 제1 온도로 강온하고, 안테나(22a)의 천장판(40)의 온도가 낮아진다.
공정 S5는, 안테나(22a)의 천장판(40)의 온도를 안정화시키는 공정이다. 공정 S5의 시간은, 예를 들어 30 내지 70분이다.
〔플라스마 퍼지 처리〕
일 실시 형태의 처리 방법에 있어서의 플라스마 퍼지 처리의 시퀀스 일례에 대하여 설명한다. 도 8은, 플라스마 퍼지 처리의 시퀀스 일례를 도시하는 도면이다.
도 8의 예에서는, 먼저, 시각 t81 내지 t82에 있어서, 제어부(70)는, NH3 가스와 H2 가스의 혼합 가스(이하 「NH3/H2 가스」라고 한다.) 및 마이크로파를 공급 하지 않고, 처리 용기(12) 내의 압력을 제1 압력 P1로부터 제2 압력 P2로 변화시킨다. NH3/H2 가스는 퍼지 가스의 일례이다. 제2 압력 P2는, 제1 압력 P1보다도 낮은 압력이고, 예를 들어 0.8Torr(107Pa)이면 된다.
계속해서, 시각 t82에 있어서, 제어부(70)는, 반응 가스 공급부(22c)로부터 처리 용기(12) 내의 NH3/H2 가스의 공급을 개시함과 함께, 안테나(22a)로부터의 마이크로파의 공급을 개시한다. 이에 의해, 처리 용기(12) 내에서 NH3/H2 가스의 플라스마가 생성된다. 또한, 마이크로파의 전력은, 예를 들어 3kW여도 된다.
계속해서, 시각 t82 내지 t83에 있어서, 제어부(70)는, 처리 용기(12) 내에서 NH3/H2 가스의 플라스마를 생성한 상태에서, 처리 용기(12) 내의 압력을 상승시키는 스텝과 처리 용기(12) 내의 압력을 하강시키는 스텝을 포함하는 복수회의 사이클을 실행한다. 이에 의해, 생성한 플라스마에 의해 처리 용기(12) 내에 부착된 퇴적 막이 제거된다. 또한, 복수회의 사이클은, 예를 들어 3회여도 된다.
처리 용기(12) 내의 압력을 상승시키는 스텝에서는, 예를 들어 도 8에 도시된 바와 같이, 처리 용기(12) 내의 압력을 제2 압력 P2로부터 제3 압력 P3까지 스텝 형상으로 상승시킨다. 바꾸어 말하면, 처리 용기(12) 내의 압력을 상승시키는 스텝에서는, 일정 시간 경과할 때마다 처리 용기(12) 내의 압력을 상승시킨다.
처리 용기(12) 내의 압력을 하강시키는 스텝에서는, 예를 들어 도 8에 도시된 바와 같이, 처리 용기(12) 내의 압력을 제3 압력 P3으로부터 제2 압력 P2까지 스텝 형상으로 하강시킨다. 바꾸어 말하면, 처리 용기(12) 내의 압력을 하강시키는 스텝에서는, 일정 시간 경과할 때마다 처리 용기(12) 내의 압력을 하강시킨다.
또한, 처리 용기(12) 내의 압력을 상승시키는 스텝 및 처리 용기(12) 내의 압력을 하강시키는 스텝에서는, 예를 들어 처리 용기(12) 내의 압력을 연속적으로 변화시켜도 되지만, 플라스마 방전의 안정성의 관점에서, 스텝 형상으로 변화시키는 것이 바람직하다. 제3 압력 P3은, 제2 압력 P2보다도 높은 압력이고, 예를 들어 3.0Torr(400Pa)이면 된다. 또한, 시각 t82로부터 시각 t83까지의 시간은, 처리 용기(12) 내에 부착된 퇴적 막의 막 두께에 따라서 설정되고, 예를 들어 90 내지 150분이어도 된다.
계속해서, 시각 t83에 있어서, 제어부(70)는, 반응 가스 공급부(22c)로부터 처리 용기(12) 내로의 NH3/H2 가스의 공급을 정지함과 함께, 안테나(22a)로부터의 마이크로파의 공급을 정지한다.
계속해서, 시각 t83 내지 t84에 있어서, 제어부(70)는, NH3/H2 가스 및 마이크로파를 공급하지 않고, 처리 용기(12) 내의 압력을 제2 압력 P2로부터 제1 압력 P1로 변화시킨다.
도 8에 도시되는 플라스마 퍼지 처리에서는, 제어부(70)는, 마이크로파에 의해 생성되는 플라스마를 사용하여 처리 용기(12) 내에 부착된 퇴적 막을 제거한다. 이에 의해, NH3/H2 가스의 플라스마에 의해, 처리 용기(12) 내에 부착된 퇴적 막을 분해하여 제거할 수 있다.
또한, 도 8에 도시되는 플라스마 퍼지 처리에서는, 제어부(70)는, 처리 용기(12) 내에서 NH3/H2 가스의 플라스마를 생성한 상태에서, 처리 용기(12) 내의 압력을 상승시키는 스텝과 처리 용기(12) 내의 압력을 하강시키는 스텝을 포함하는 복수회의 사이클을 실행한다. 이에 의해, 플라스마 퍼지 처리의 실행 중에, 안테나(22a)의 천장판(40)의 하방에 있어서 NH3/H2 가스의 플라스마 발광 강도 면 내 분포를 변화시킬 수 있다. 그 때문에, 안테나(22a)의 천장판(40)의 하면에 부착된 퇴적 막이 천장판(40)의 하면의 일부 영역에 잔존하는 것을 억제할 수 있다. 그 결과, 성막 처리 시의 파티클의 발생을 억제할 수 있다.
일 실시 형태의 처리 방법에 있어서의 플라스마 퍼지 처리의 시퀀스의 다른 예에 대하여 설명한다. 도 9는, 플라스마 퍼지 처리의 시퀀스의 다른 예를 도시하는 도면이다.
도 9의 예에서는, 먼저, 시각 t91 내지 t92에 있어서, 제어부(70)는, NH3/H2 가스, N2 가스 및 마이크로파를 공급하지 않고, 처리 용기(12) 내의 압력을 제1 압력 P1로부터 제4 압력 P4로 변화시킨다. NH3/H2 가스는 퍼지 가스의 일례이고, N2 가스는 제2 퍼지 가스의 일례이다. 제4 압력 P4는, 후술하는 처리 용기(12) 내의 압력을 상승시키는 스텝과 처리 용기(12) 내의 압력을 하강시키는 스텝을 포함하는 복수회의 사이클에 있어서의 처리 용기(12) 내의 압력 최솟값인 제2 압력 P2보다도 낮은 압력이다. 제4 압력 P4는, 예를 들어 0.4Torr(53Pa)이면 된다.
계속해서, 시각 t92에 있어서, 제어부(70)는, 반응 가스 공급부(22c)로부터 처리 용기(12) 내의 N2 가스의 공급을 개시함과 함께, 안테나(22a)로부터의 마이크로파의 공급을 개시한다. 이에 의해, 처리 용기(12) 내에서 N2 가스의 플라스마가 생성된다. 또한, 마이크로파의 전력은, 예를 들어 3kW여도 된다.
계속해서, 시각 t92 내지 t93에 있어서, 제어부(70)는, 처리 용기(12) 내에서 N2 가스의 플라스마를 생성한 상태에서, 처리 용기(12) 내의 압력을 일정하게 유지한다. 이에 의해, 생성한 플라스마에 의해 처리 용기(12) 내에 부착된 퇴적 막이 제거된다. 시각 t92로부터 시각 t93까지의 시간은, 처리 용기(12) 내에 부착된 퇴적 막의 막 두께에 따라서 설정되고, 예를 들어 30 내지 90분이어도 된다.
계속해서, 시각 t93에 있어서, 제어부(70)는, 반응 가스 공급부(22c)로부터 처리 용기(12) 내의 N2 가스의 공급을 정지함과 함께, 안테나(22a)로부터의 마이크로파의 공급을 정지한다.
계속해서, 시각 t93 내지 t94에 있어서, 제어부(70)는, NH3/H2 가스, N2 가스 및 마이크로파를 공급하지 않고, 처리 용기(12) 내의 압력을 제4 압력 P4로부터 제2 압력 P2로 변화시킨다. 제2 압력 P2는, 제1 압력 P1보다도 낮은 압력이고, 예를 들어 0.8Torr(107Pa)이면 된다.
계속해서, 시각 t94에 있어서, 제어부(70)는, 반응 가스 공급부(22c)로부터 처리 용기(12) 내의 NH3/H2 가스의 공급을 개시함과 함께, 안테나(22a)로부터의 마이크로파의 공급을 개시한다. 이에 의해, 처리 용기(12) 내에서 NH3/H2 가스의 플라스마가 생성된다. 또한, 마이크로파의 전력은, 예를 들어 3kW여도 된다.
계속해서, 시각 t94 내지 t95에 있어서, 제어부(70)는, 처리 용기(12) 내에서 NH3/H2 가스의 플라스마를 생성한 상태에서, 처리 용기(12) 내의 압력을 상승시키는 스텝과 처리 용기(12) 내의 압력을 하강시키는 스텝을 포함하는 복수회의 사이클을 실행한다. 이에 의해, 생성된 플라스마에 의해 처리 용기(12) 내에 부착된 퇴적 막이 제거된다. 또한, 복수회의 사이클은, 예를 들어 3회여도 된다.
처리 용기(12) 내의 압력을 상승시키는 스텝에서는, 예를 들어 도 9에 도시된 바와 같이, 처리 용기(12) 내의 압력을 제2 압력 P2로부터 제3 압력 P3까지 스텝 형상으로 상승시킨다. 바꾸어 말하면, 처리 용기(12) 내의 압력을 상승시키는 스텝에서는, 일정 시간 경과할 때마다 처리 용기(12) 내의 압력을 상승시킨다.
처리 용기(12) 내의 압력을 하강시키는 스텝에서는, 예를 들어 도 9에 도시된 바와 같이, 처리 용기(12) 내의 압력을 제3 압력 P3으로부터 제2 압력 P2까지 스텝 형상으로 하강시킨다. 바꾸어 말하면, 처리 용기(12) 내의 압력을 하강시키는 스텝에서는, 일정 시간 경과할 때마다 처리 용기(12) 내의 압력을 하강시킨다.
또한, 처리 용기(12) 내의 압력을 상승시키는 스텝 및 처리 용기(12) 내의 압력을 하강시키는 스텝에서는, 예를 들어 처리 용기(12) 내의 압력을 연속적으로 변화시켜도 되지만, 플라스마 방전의 안정성의 관점에서, 스텝 형상으로 변화시키는 것이 바람직하다. 제3 압력 P3은, 제2 압력 P2보다도 높은 압력이고, 예를 들어 3.0Torr(400Pa)이면 된다. 또한, 시각 t92로부터 시각 t93까지의 시간은, 처리 용기(12) 내에 부착된 퇴적 막의 막 두께에 따라서 설정되고, 예를 들어 30 내지 90분이어도 된다.
계속해서, 시각 t95에 있어서, 제어부(70)는, 반응 가스 공급부(22c)로부터 처리 용기(12) 내의 NH3/H2 가스의 공급을 정지함과 함께, 안테나(22a)로부터의 마이크로파의 공급을 정지한다.
계속해서, 시각 t95 내지 t96에 있어서, 제어부(70)는 NH3/H2 가스, N2 가스 및 마이크로파를 공급하지 않고, 처리 용기(12) 내의 압력을 제2 압력 P2로부터 제1 압력 P1로 변화시킨다.
도 9에 도시되는 플라스마 퍼지 처리에서는, 제어부(70)는, 마이크로파에 의해 생성되는 플라스마를 사용하여 처리 용기(12) 내에 부착된 퇴적 막을 제거한다. 이에 의해, NH3/H2 가스의 플라스마에 의해, 처리 용기(12) 내에 부착된 퇴적 막을 분해하여 제거할 수 있다.
또한, 도 9에 도시되는 플라스마 퍼지 처리에서는, 제어부(70)는, 처리 용기(12) 내에서 NH3/H2 가스의 플라스마를 생성한 상태에서, 처리 용기(12) 내의 압력을 상승시키는 스텝과 처리 용기(12) 내의 압력을 하강시키는 스텝을 포함하는 복수회의 사이클을 실행한다. 이에 의해, 플라스마 퍼지 처리의 실행 중에, 안테나(22a)의 천장판(40)의 하방에 있어서 NH3/H2 가스의 플라스마 발광 강도의 면 내 분포를 변화시킬 수 있다. 그 때문에, 안테나(22a)의 천장판(40)의 하면에 부착된 퇴적 막이 천장판(40)의 하면의 일부 영역에 잔존하는 것을 억제할 수 있다. 그 결과, 성막 처리 시의 파티클의 발생을 억제할 수 있다.
〔플라스마의 발광 강도〕
적외선 카메라를 사용하여 마이크로파에 의해 생성되는 플라스마의 발광 강도 분포를 평가한 결과에 대하여 설명한다. 본 평가에서는, 히터(26)의 설정 온도를 80℃, 칠러(48)의 설정 온도를 60℃, 퍼지 가스로서 NH3 가스와 H2 가스와 Ar 가스의 혼합 가스, 마이크로파의 전력을 2.5kW로 고정하고, 처리 용기(12) 내의 압력을 변화시켰을 때의 플라스마 발광 강도 분포를 측정하였다.
도 10은, 플라스마의 발광 강도 분포를 도시하는 도면이고, 안테나(22a)의 면 내에서의 플라스마의 발광 강도 분포를 나타낸다. 도 10에 있어서의 좌측의 도는, 처리 용기(12) 내의 압력이 1Torr(133Pa)인 경우의 결과를 나타낸다. 도 10에 있어서의 중앙의 도는, 처리 용기(12) 내의 압력이 2Torr(267Pa)인 경우의 결과를 나타낸다. 도 10에 있어서의 우측의 도는, 처리 용기(12) 내의 압력이 5Torr(667Pa)인 경우의 결과를 나타낸다.
도 10에 도시된 바와 같이, 처리 용기(12) 내의 압력을 변화시킴으로써, 안테나(22a)의 면 내에 있어서 NH3/H2 가스의 플라스마의 발광 강도 분포가 변화하고 있는 것을 알 수 있다. 구체적으로는, 처리 용기(12) 내의 압력을 낮게 하면, 천장판(40)의 외주 부분에 있어서의 플라스마의 발광 강도가 높아지는 것에 비해, 처리 용기(12) 내의 압력을 높게 하면, 천장판(40)의 중앙 부분에 있어서의 플라스마의 발광 강도가 높아지는 것을 알 수 있다.
이상, 도 10에 도시되는 결과로부터, 처리 용기(12) 내에서 NH3/H2 가스의 플라스마를 생성한 상태에서 처리 용기(12) 내의 압력을 변화시킴으로써, 플라스마의 발광 강도의 면 내 분포를 변화시킬 수 있다고 할 수 있다.
〔파티클 평가〕
먼저, 처리 용기(12) 내에 퇴적 막이 부착된 상태에서, 처리 용기(12) 내에 웨이퍼를 수용하고, 이하에 나타내는 조건 A 내지 E에 의해 처리 용기(12) 내에 부착된 퇴적 막을 제거하는 처리를 행하여, 해당 처리 시에 웨이퍼에 퇴적된 파티클의 수를 측정하였다.
(조건 A)
칠러(48)의 설정 온도: 80℃
처리 용기(12) 내의 압력: 0.4Torr(53Pa)
마이크로파의 전력: 3.0kW
퍼지 가스: a-1/a-2/a-3=N2/N2/N2
퍼지 시간: 60분
히터(26)의 설정 온도: 550℃
또한, a-1, a-2 및 a-3은, 제2 영역 R2 중의 각각 안테나(22a-1, 22a-1 및 22a-3)가 마련된 영역을 나타낸다. 즉, a-1/a-2/a-3=N2/N2/N2는, 안테나(22a-1)가 마련된 영역에 N2 가스를 공급하고, 안테나(22a-2)가 마련된 영역에 N2 가스를 공급하고, 안테나(22a-3)가 마련된 영역에 N2 가스를 공급하는 것을 의미한다.
(조건 B)
칠러(48)의 설정 온도: 80℃
처리 용기(12) 내의 압력: 2.0Torr(267Pa)
마이크로파의 전력: 3.0kW
퍼지 가스: a-1/a-2/a-3=H2/H2/H2+NH3
퍼지 시간: 60분
히터(26)의 설정 온도: 550℃
(조건 C)
칠러(48)의 설정 온도: 80℃
처리 용기(12) 내의 압력: 0.9Torr(120Pa)
마이크로파의 전력: 3.0kW
퍼지 가스: a-1/a-2/a-3=H2/H2/H2+NH3
퍼지 시간: 60분
히터(26)의 설정 온도: 550℃
(조건 D)
칠러(48)의 설정 온도: 80℃
처리 용기(12) 내의 압력: 0.8Torr(107Pa)로부터 3.0Torr(400Pa)로 스텝 형상으로 승압하는 스텝과 3.0Torr(400Pa)로부터 0.8Torr(107Pa)로 스텝 형상으로 강압한 스텝을 포함하는 복수의 사이클 마이크로파의 전력: 3.0kW
퍼지 가스: a-1/a-2/a-3=H2/H2/H2+NH3
퍼지시간: 60분
히터(26)의 설정 온도: 550℃
(조건 E)
조건 A의 후에 조건 D를 실시
도 11은, 파티클 수의 평가 결과의 일례를 도시하는 도면이다. 도 11에는, 조건A 내지 E에 의해 처리 용기(12) 내에 부착된 퇴적 막을 제거하는 처리를 행한 후에 해당 웨이퍼에 퇴적된 파티클의 수를 측정한 결과를 나타낸다. 또한, 도 11에는, 입경이 38nm 이상의 파티클의 수 및 입경이 1㎛ 이상의 파티클의 수를 나타낸다.
도 11에 도시된 바와 같이, 입경이 38nm 이상의 파티클의 수는, 조건 A에서는 28개, 조건 B에서는 18개, 조건 C에서는 88개, 조건 D에서는 284개, 조건 E에서는 333개였다.
이 결과로부터, 처리 용기(12) 내에서 퍼지 가스의 플라스마를 생성한 상태에서 처리 용기(12) 내의 압력을 변화시키는 공정을 포함하는 조건 D, E는, 해당 압력을 변화시키는 공정을 포함하지 않는 조건 A 내지 C보다도 처리 용기(12)의 내부에 부착된 퇴적 막을 제거하는 효과가 높다고 추정된다.
이어서, 플라스마 퍼지 처리를 실행하기 전(플라스마 퍼지 처리 없음) 및 전술한 조건 A 내지 E에 의해 퇴적 막을 제거하는 처리를 행한 후, 처리 용기(12) 내에 웨이퍼를 수용하여 성막 처리를 행하고, 해당 성막 처리 시에 웨이퍼에 퇴적된 파티클의 수를 측정하였다.
도 12는, 파티클 수의 평가 결과의 다른 예를 도시하는 도면이다. 도 12에는, 플라스마 퍼지 처리를 실행하기 전(플라스마 퍼지 처리 없음) 및 조건 A 내지 E에 의해 퇴적 막을 제거하는 처리를 행한 후, 처리 용기(12) 내에 웨이퍼를 수용하여 성막 처리를 행했을 때에 해당 웨이퍼에 퇴적된 파티클 수의 측정 결과를 나타낸다. 또한, 도 12에는, 입경이 38nm 이상의 파티클의 수 및 입경이 1㎛ 이상의 파티클의 수를 나타낸다.
플라스마 퍼지 처리 없음의 조건에서는, 입경이 38nm 이상의 파티클의 수는, 제1 런째(Run-1)에서는 22개, 제2 런째(Run-2)에서는 44개, 제3 런째(Run-3)에서는 28개, 제4 런째(Run-4)에서는 28개였다. 또한, 플라스마 퍼지 처리 없음의 조건에서는, 입경이 1㎛ 이상의 파티클의 수는, 제1 런째(Run-1)에서는 2개, 제2 런째(Run-2)에서는 17개, 제3 런째(Run-3)에서는 1개, 제4 런째(Run-4)에서는 5개였다.
조건 A에서는, 입경이 38nm 이상의 파티클의 수는, 제1 런째(Run-1)에서는 17개, 제2 런째(Run-2)에서는 24개, 제3 런째(Run-3)에서는 13개였다. 또한, 조건 A에서는, 입경이 1㎛ 이상의 파티클의 수는, 제1 런째(Run-1)에서는 2개, 제2 런째(Run-2)에서는 0개, 제3 런째(Run-3)에서는 0개였다.
조건 B에서는, 입경이 38nm 이상의 파티클의 수는, 제1 런째(Run-1)에서는 306개, 제2 런째(Run-2)에서는 27개, 제3 런째(Run-3)에서는 6개였다. 또한, 조건 B에서는, 입경이 1㎛ 이상의 파티클의 수는, 제1 런째(Run-1)에서는 7개, 제2 런째(Run-2)에서는 2개, 제3 런째(Run-3)에서는 0개였다.
조건 C에서는, 입경이 38nm 이상의 파티클의 수는, 제1 런째(Run-1)에서는 11개, 제2 런째(Run-2)에서는 7개, 제3 런째(Run-3)에서는 27개였다. 또한, 조건 C에서는, 입경이 1㎛ 이상의 파티클의 수는, 제1 런째(Run-1)에서는 3개, 제2 런째(Run-2)에서는 1개, 제3 런째(Run-3)에서는 6개였다.
조건 D에서는, 입경이 38nm 이상의 파티클의 수는, 제1 런째(Run-1)에서는 4개, 제2 런째(Run-2)에서는 7개, 제3 런째(Run-3)에서는 2개, 제4 런째(Run-4)에서는 4개였다. 또한, 조건 D에서는, 입경이 1㎛ 이상의 파티클의 수는, 제1 런째(Run-1)에서는 0개, 제2 런째(Run-2)에서는 0개, 제3 런째(Run-3)에서는 0개, 제4 런째(Run-4)에서는 0개였다.
조건 E에서는, 입경이 38nm 이상의 파티클의 수는, 제1 런째(Run-1)에서는 1개, 제2 런째(Run-2)에서는 2개, 제3 런째(Run-3)에서는 10개, 제4 런째(Run-4)에서는 1개였다. 또한, 조건 E에서는, 입경이 1㎛ 이상의 파티클의 수는, 제1 런째(Run-1)에서는 0개, 제2 런째(Run-2)에서는 0개, 제3 런째(Run-3)에서는 2개, 제4 런째(Run-4)에서는 0개였다.
이 결과로부터, 처리 용기(12) 내에서 퍼지 가스의 플라스마를 생성한 상태에서 처리 용기(12) 내의 압력을 변화시키는 공정을 포함하는 조건 D, E는, 해당 압력을 변화시키는 공정을 포함하지 않는 조건 A 내지 C보다도 성막 처리 시의 파티클의 발생을 억제할 수 있다고 할 수 있다.
금회 개시된 실시 형태는 모든 점에서 예시이며 제한적인 것은 아니라고 생각되어야 한다. 상기의 실시 형태는, 첨부의 청구범위 및 그 취지를 일탈하지 않고, 다양한 형태로 생략, 치환, 변경되어도 된다.
상기의 실시 형태에서는, 성막 장치가 처리 용기 내의 적재대 상에 배치한 복수의 기판을 적재대에 의해 공전시켜, 제1 영역과 제2 영역을 차례로 통과시켜서 기판에 대하여 처리를 행하는 세미 배치식의 장치인 경우를 설명했지만, 본 개시는 이것에 한정되지 않는다. 예를 들어, 성막 장치는, 기판을 1장씩 처리하는 매엽식의 장치여도 된다. 또한, 예를 들어 성막 장치는 복수의 기판에 대하여 한번에 처리를 행하는 배치식의 장치여도 된다.
상기의 실시 형태에서는, 성막 장치가 안테나로부터 마이크로파를 공급함으로써 플라스마를 생성하는 장치인 경우를 설명했지만, 본 개시는 이것에 한정되지 않는다. 예를 들어, 성막 장치는, 유도 결합형 플라스마(ICP: Inductively Coupled Plasma)를 사용한 장치여도 된다.

Claims (15)

  1. 처리 용기 내에서 퍼지 가스의 플라스마를 생성한 상태에서 상기 처리 용기 내의 압력을 변화시키는 공정이며, 생성한 상기 플라스마에 의해 상기 처리 용기 내에 부착된 막을 제거하는 공정을 갖는,
    처리 방법.
  2. 제1항에 있어서, 상기 압력을 변화시키는 공정은,
    상기 처리 용기 내의 압력을 상승시키는 스텝과,
    상기 처리 용기 내의 압력을 하강시키는 스텝을
    포함하는, 처리 방법.
  3. 제2항에 있어서, 상기 압력을 상승시키는 스텝과 상기 압력을 하강시키는 스텝은 반복하여 행하여지는,
    처리 방법.
  4. 제2항 또는 제3항에 있어서, 상기 압력을 상승시키는 스텝은, 상기 압력을 스텝 형상으로 상승시키는 스텝이고,
    상기 압력을 하강시키는 스텝에서는, 상기 압력을 스텝 형상으로 하강시키는 스텝인,
    처리 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 압력을 변화시키는 공정은, 상기 처리 용기 내에 기판을 수용한 상태에서 행하여지는,
    처리 방법.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 압력을 변화시키는 공정은, 상기 처리 용기 내에 기판을 수용하지 않는 상태에서 행하여지는,
    처리 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 퍼지 가스는, H2 가스를 포함하는,
    처리 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 퍼지 가스는, NH3 가스를 포함하는,
    처리 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 플라스마는, 안테나로부터 마이크로파를 공급함으로써 생성되는,
    처리 방법.
  10. 제9항에 있어서, 상기 압력을 변화시키는 공정은, 상기 처리 용기 내에 기판을 수용한 상태에서 상기 기판에 대하여 성막 처리를 행하는 공정보다도 상기 안테나의 천장판의 온도를 높게 하여 행하여지는,
    처리 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 처리 용기 내에서 제2 퍼지 가스의 플라스마를 생성하는 공정이며, 생성한 상기 플라스마에 의해 상기 처리 용기 내에 부착된 막을 제거하는 공정을 더 갖는,
    처리 방법.
  12. 제11항에 있어서, 상기 제2 퍼지 가스의 플라스마를 생성하는 공정은, 상기 처리 용기 내의 압력을 일정하게 유지한 상태에서 행하여지는,
    처리 방법.
  13. 제11항 또는 제12항에 있어서, 상기 제2 퍼지 가스의 플라스마를 생성하는 공정은, 상기 압력을 변화시키는 공정 전에 행하여지는,
    처리 방법.
  14. 제11항 내지 제13항 중 어느 한 항에 있어서, 상기 제2 퍼지 가스의 플라스마를 생성하는 공정에서의 상기 처리 용기 내의 압력은, 상기 압력을 변화시키는 공정에서의 상기 처리 용기 내의 압력의 최솟값보다도 낮은,
    처리 방법.
  15. 제11항 내지 제14항 중 어느 한 항에 있어서, 상기 제2 퍼지 가스는, N2 가스를 포함하는,
    처리 방법.
KR1020200139990A 2019-11-06 2020-10-27 처리 방법 KR20210054988A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2019-201376 2019-11-06
JP2019201376A JP7285761B2 (ja) 2019-11-06 2019-11-06 処理方法

Publications (1)

Publication Number Publication Date
KR20210054988A true KR20210054988A (ko) 2021-05-14

Family

ID=75687038

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200139990A KR20210054988A (ko) 2019-11-06 2020-10-27 처리 방법

Country Status (3)

Country Link
US (1) US11414753B2 (ko)
JP (1) JP7285761B2 (ko)
KR (1) KR20210054988A (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016115814A (ja) 2014-12-15 2016-06-23 東京エレクトロン株式会社 成膜方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JPH10280151A (ja) * 1997-04-08 1998-10-20 Fujitsu Ltd Cvd装置のクリーニング方法
JP4413084B2 (ja) * 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
KR100830749B1 (ko) * 2004-02-19 2008-05-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치에 있어서의 처리실의 클리닝 방법, 기억매체, 플라즈마 처리 장치, 기판 처리 방법 및 클리닝의종점 검출 방법
JP4469364B2 (ja) * 2006-12-11 2010-05-26 キヤノンアネルバ株式会社 絶縁膜エッチング装置
US8119545B2 (en) * 2008-03-31 2012-02-21 Tokyo Electron Limited Forming a silicon nitride film by plasma CVD
JP2012064773A (ja) * 2010-09-16 2012-03-29 Hitachi High-Technologies Corp プラズマ処理装置
JP5659079B2 (ja) * 2011-05-10 2015-01-28 株式会社アルバック ZrBO膜の形成装置
CN102899635B (zh) * 2012-09-26 2015-12-02 中微半导体设备(上海)有限公司 一种原位清洁mocvd反应腔室的方法
JP2014154681A (ja) * 2013-02-07 2014-08-25 Renesas Electronics Corp 半導体装置の製造装置のクリーニング方法および半導体装置の製造方法
JP6661283B2 (ja) * 2015-05-14 2020-03-11 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
JP6742265B2 (ja) * 2017-03-28 2020-08-19 東京エレクトロン株式会社 洗浄副生成物の付着抑制方法及びこれを用いた反応室内のクリーニング方法、並びに室温成膜装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016115814A (ja) 2014-12-15 2016-06-23 東京エレクトロン株式会社 成膜方法

Also Published As

Publication number Publication date
JP7285761B2 (ja) 2023-06-02
US11414753B2 (en) 2022-08-16
JP2021077693A (ja) 2021-05-20
US20210130950A1 (en) 2021-05-06

Similar Documents

Publication Publication Date Title
JP6378070B2 (ja) 成膜方法
JP5882777B2 (ja) 成膜装置
JP6345104B2 (ja) 成膜方法
JP6134191B2 (ja) 回転型セミバッチald装置
US9831067B2 (en) Film-forming apparatus
KR101584817B1 (ko) 성막 장치
US10900121B2 (en) Method of manufacturing semiconductor device and apparatus of manufacturing semiconductor device
JP5839606B2 (ja) 窒化膜を形成する方法
US11725278B2 (en) Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10844489B2 (en) Film forming apparatus and shower head
US11492702B2 (en) Film-forming apparatus and film-forming method
US10151034B2 (en) Substrate processing method including supplying a fluorine-containing gas on a surface of a substrate
WO2017169556A1 (ja) プラズマ電極およびプラズマ処理装置
US20180037995A1 (en) Substrate Processing Apparatus and Substrate Processing Method
CN106252268B (zh) 基板处理方法以及基板处理装置
JP6258184B2 (ja) 基板処理装置
KR102364193B1 (ko) 처리 방법 및 처리 장치
KR20210054988A (ko) 처리 방법
KR20040082177A (ko) 원자층 증착 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal