CN106252268B - 基板处理方法以及基板处理装置 - Google Patents

基板处理方法以及基板处理装置 Download PDF

Info

Publication number
CN106252268B
CN106252268B CN201610422960.6A CN201610422960A CN106252268B CN 106252268 B CN106252268 B CN 106252268B CN 201610422960 A CN201610422960 A CN 201610422960A CN 106252268 B CN106252268 B CN 106252268B
Authority
CN
China
Prior art keywords
turntable
substrate
wafer
processed
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610422960.6A
Other languages
English (en)
Other versions
CN106252268A (zh
Inventor
吉川润
福留誉司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN106252268A publication Critical patent/CN106252268A/zh
Application granted granted Critical
Publication of CN106252268B publication Critical patent/CN106252268B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)
  • Inorganic Chemistry (AREA)

Abstract

本发明提供一种基板处理方法以及基板处理装置。在处理容器内将多张晶圆(W1~W5)载置于旋转台(14)上,一边使旋转台(14)以轴线(P)为中心旋转一边对晶圆(W1~W5)进行成膜处理。在载置于旋转台(14)上的晶圆(W1~W5)之间的间隙配置仿真的被处理体(OD、ID)。

Description

基板处理方法以及基板处理装置
技术领域
本发明涉及在处理容器内将多个基板载置于旋转台上、一边使该旋转台旋转一边进行基板的处理的基板处理方法以及基板处理装置。
背景技术
在使薄膜成膜于基板例如半导体晶圆(以下称为“晶圆”)的情况下,以往以来,在处理容器内设有以绕铅垂轴线旋转自如的方式构成的旋转台,在该旋转台上载置有多张晶圆,一边使旋转台旋转一边进行成膜处理。例如在ALD(atomic layer deposition:原子层沉积法)的情况下,向这些多张晶圆的表面依次供给相互反应的多个反应气体,层叠多个反应生成物的层,从而形成薄膜。根据这样的方法,由于能够对多张晶圆同时进行成膜,所以相比于单片方式,生产效率高,而且由于一边使旋转台旋转一边对各晶圆进行成膜,所以能够对各晶圆进行均匀的成膜处理。
发明内容
但是,发明人发现,虽然各晶圆的相互间的分布确实是均匀的,但是对于晶圆的面内的膜厚均匀性来说依然存在问题。如果基于图8、图9对此加以说明,在以轴线P为旋转中心旋转的旋转台101上沿周向隔开相等间隔地载置例如5张晶圆102而进行处理,在该情况下,例如即使向旋转台101上均匀地供给用于成膜的自由基成分,也能够确认到存在连结旋转台101的旋转中心与晶圆102的中心的径向的两端部的膜厚厚于晶圆102的中心的膜厚的倾向。
如图9所示,在裸晶圆的情况下,在裸晶圆的中心和所述径向两端部处,膜厚并未见太大差异,但在形成有图案的晶圆的情况下,晶圆的径向的两端部的膜厚变得厚于晶圆的中心的膜厚。此外,在图9中,如图示的那样,所谓的Center是旋转台101的连结晶圆中心PW与作为旋转台101的旋转中心的轴线P的径向的中心侧端部,所谓的Edge是晶圆的该径向的外侧端部。
如图8所示,其理由是,如果一边使旋转台101旋转一边处理,与各晶圆102的所述径向的两端部相比,在各晶圆102的中心,累计消耗的自由基成分的量较多,因此,结果变得稀薄,单位面积的自由基成分的单位时间的附着量在中心处变少。并且原因在于,虽然在裸晶圆的情况下并不是问题,但在形成有图案的晶圆的情况下,由于基于图案的微小的凹凸形成于晶圆表面,所以相比于裸晶圆的情况,反应面积例如也扩大10倍左右,消费量的差异变得显著。
关于这一点,在对旋转台上的多张晶圆同时进行成膜处理的情况下,作为改善各晶圆的膜厚的均匀性的技术,提出了在晶圆的周边部配置环状的温度控制手段的方法(日本特开平7-249580号)。
为了达成所述目的,本发明是一种基板处理方法,其中,在处理容器内将多个基板载置于旋转台上,一边使旋转台旋转一边进行这些基板的处理,其特征在于,在以上的基板处理方法中,在载置于旋转台上的基板之间的间隙配置仿真的被处理体。
上述的技术方案仅用于说明,在任何方式中均不意图做出限制。除了上述的说明的技术方案、实施例以及特征之外,对于追加的技术方案、实施例以及特征通过参照附图以及以下详细的说明变得更明确。
附图说明
图1是概略地表示实施方式的基板处理装置的结构的俯视图。
图2是表示从图1所示的基板处理装置取下处理容器的上部构件后的状态的俯视图。
图3是图1以及图2中的基板处理装置的A-A线的剖视图。
图4是图1的基板处理装置的旋转台的俯视图。
图5是表示基于有无仿真的被处理体的、晶圆上的径向的自由基的消费量的图表。
图6是表示只有裸晶圆的情况下、只有形成有图案的晶圆的情况下以及将仿真的被处理体与形成有图案的晶圆并用的情况下的、各晶圆的连结旋转台的中心与晶圆中心的径向的膜厚的分布的图表。
图7是表示配置于旋转台上的仿真的被处理体的配置区域的说明图。
图8是以往的基板处理装置的旋转台的俯视图。
图9是表示用以往的基板处理装置进行成膜处理时的裸晶圆的膜厚分布和图案晶圆的膜厚分布的图表。
具体实施方式
在以下详细的说明中,参照形成说明书的一部分的附图。详细的说明、附图以及权利要求所记载的说明性的实施例并不有意做出限制。在不脱离在此所示的本发明的思想或者范围的情况下,能够使用其他的实施例,或者进行其他的变形。
对于日本特开平7-249580号所记载的技术,通过控制各晶圆的面内的温度,从而提高成膜的膜的均匀性,但是由于所述那样的在晶圆的中心和所述径向的两端部处的成膜种等的消耗量的差异,存在无法直接应对膜厚的不均匀的问题。此外控制气流、等离子体分布来应对所述不均匀并使其改善的方法是困难的。
本发明是鉴于这样的情况而做出的,其目的在于,在对旋转台上的多张晶圆同时进行成膜处理等处理的情况下,以使自由基成分等成膜种、处理的活性种等均匀地附着于连结旋转台的旋转中心与晶圆中心的径向的晶圆两端部和中心的方式提高处理的均匀性。
为了达成所述目的,本发明是一种基板处理方法,其中,在处理容器内将多个基板载置于旋转台上,一边使旋转台旋转一边进行这些基板的处理,其特征在于,在载置于旋转台上的基板之间的间隙配置仿真的被处理体。
根据本发明,由于在排列载置于旋转台上的基板之间的间隙配置仿真的被处理体,所以,例如成膜处理的成膜种、自由基成分不仅在基板处被消耗,在仿真的被处理体处也被消耗。因此,在使旋转台旋转而进行处理的情况下,在载置于旋转台上的基板的中心与朝向旋转中心的径向的两端部,能够改善由以往的消耗量的差异引起的膜厚的不均匀。
优选的是,所述仿真的被处理体的表面的材质与所述基板的表面的材质相同。
此外,也可以在所述被处理体的表面形成图案。
此外,也可以是,至少所述被处理体配置于在使所述旋转台旋转时、所述基板的距旋转台的旋转中心最近的点的移动轨迹与所述基板的距旋转台的旋转中心最远的点的移动轨迹间所形成的圆环状(环状)区域。
本发明是一种基板处理装置,其中,在处理容器内将多个基板载置于旋转台上的周向,一边使旋转台旋转一边进行这些基板的处理,其特征在于,在载置于所述旋转台上的基板之间的间隙的规定位置载置有仿真的被处理基板。
在该情况下,也优选的是,所述被处理体的表面由与所述基板的表面的材质相同的材质构成。
此外,也可以在所述被处理体的表面形成图案。
另外,也可以是,至少所述被处理体配置于在使所述旋转台旋转时、所述基板的距旋转台的旋转中心最近的点的移动轨迹与所述基板的距旋转台的旋转中心最远的点的移动轨迹间所形成的圆环状(环状)区域。
根据本发明,在对旋转台上的多个基板同时进行成膜处理等处理的情况下,自由基成分等成膜种、处理的活性种等能够均匀地附着于连结旋转台的旋转中心与基板中心的径向的基板两端部和基板中心部,能够提高处理的均匀性。
以下,说明本发明的实施方式。图1是概略地表示实施方式的基板处理装置10的结构的俯视图,图2是表示自图1所示的基板处理装置10取下处理容器12的上部构件后的状态的俯视图,图3是图1以及图2中的基板处理装置10的A-A线剖视图。该基板处理装置10构成为利用等离子体促进反应的PEALD(Plasma Enhanced Atomic Layer Deposition,等离子体增强原子层沉积)方式的装置。
如图1所示,该基板处理装置10的处理容器12是以轴线P为中心的大致圆筒状的容器。处理容器12在其内部具有处理室C。处理室C包含具备喷射部16a的单元U。处理容器12由在内表面实施了例如铝阳极化处理或者Y2O3(氧化钇)的喷镀处理等耐等离子体处理的Al(铝)等金属形成。基板处理装置10在处理容器12内具有多个等离子体生成部22。各个等离子体生成部22在处理容器12的上方具有输出微波的天线22a。天线22a的数量并不限定于图1所示的那样,而能够适当地选择。
如图2所示,基板处理装置10具有在上表面形成了多个基板载置区域14a的旋转台14。旋转台14是以轴线P为中心轴线的大致圆板状的构件。在旋转台14的上表面形成有多个(图2的示例中为5个)以轴线P为中心的同心圆状地载置晶圆W的基板载置区域14a。晶圆W配置于基板载置区域14a内,在旋转台14旋转时,基板载置区域14a以使晶圆W不发生偏移的方式支承晶圆W。基板载置区域14a是与大致圆状的晶圆W大致相同形状的大致圆状的凹部。基板载置区域14a的凹部的直径Wd与载置于基板载置区域14a的晶圆W的直径大致相同。更详细地说,基板载置区域14a的凹部的直径Wd为如下程度即可,即所载置的晶圆W嵌合于该凹部,即使旋转台14旋转,晶圆W也不会由于离心力而自嵌合位置移动。
在处理容器12的外缘设有闸阀G,该闸阀G借助机械臂等输送装置,将晶圆W搬入处理室C,将晶圆W自处理室C搬出。此外,如图2所示,在旋转台14的外缘的下方设有排气口22h。排气装置52连接于排气口22h。基板处理装置10通过控制排气装置52的动作,从而能够将处理室C内的压力维持在目的的压力。
如图3所示,处理容器12具有下部构件12a以及上部构件12b。下部构件12a具有在上方开口的大致筒形状,为了形成大致圆环状的处理室C,具有由侧壁以及底壁构成的凹部。上部构件12b具有大致筒形状,通过堵塞下部构件12a的凹部的上部开口,从而作为形成处理室C的盖体发挥功能。在下部构件12a与上部构件12b之间的外周部也可以设有用于密闭处理室C的弹性密封构件,例如O型密封圈。
在由处理容器12形成的处理室C的内部设有旋转台14。旋转台14被驱动机构24驱动而以轴线P为中心旋转。驱动机构24具有马达等驱动装置24a以及旋转轴24b,且安装于处理容器12的下部构件12a。
旋转轴24b将轴线P作为中心轴线,且延伸到处理室C的内部。旋转轴24b利用从驱动装置24a传递来的驱动力而以轴线P为中心旋转。旋转台14的中央部分被旋转轴24b支承。因而,旋转台14以轴线P为中心随着旋转轴24b的旋转而旋转。另外,也可以在处理容器12的下部构件12a与驱动机构24之间,设有密闭处理室C的O型密封圈等弹性密封构件。
在处理室C内部的旋转台14的下方设有用于对载置于基板载置区域14a的晶圆W加热的加热器26。利用该加热器26加热旋转台14,从而加热晶圆W。晶圆W经由设于处理容器12的闸阀G,被未图示的机械臂等输送装置(未图示)输送到处理室C而载置于基板载置区域14a,此外,利用该输送装置经由闸阀G自处理室C取出晶圆W。
处理室C形成有排列于以轴线P为中心的圆周上的第1区域R1(参照图2)以及第2区域R2。载置于基板载置区域14a的晶圆W随着旋转台14的旋转依次通过第1区域R1以及第2区域R2。
以与旋转台14的上表面相面对的方式配置第1气体供给部16。第1气体供给部16具有喷射部16a。处理室C所包含的区域中的、与喷射部16a相面对的区域为第1区域R1。
喷射部16a具有多个喷射口16h。第1气体供给部16经由多个喷射口16h向第1区域R1供给前体气体。通过将前体气体向第1区域R1供给,从而使前体气体的原子或者分子化学地吸附于通过第1区域R1的晶圆W的表面。作为前体气体,例如,可以示例出DCS(二氯硅烷)、一氯硅烷以及三氯硅烷等。
在第1区域R1的上方以与旋转台14的上表面相面对的方式设有排气部18的排气口18a。排气口18a设于喷射部16a的周围。排气部18利用真空泵等排气装置34的动作,经由排气口18a对处理室C内的气体进行排气。
在第1区域R1的上方以与旋转台14的上表面相面对的方式设有第2气体供给部20的喷射口20a。喷射口20a设于排气口18a的周围。第2气体供给部20经由喷射口20a向第1区域R1供给吹扫气体。由第2气体供给部20供给的吹扫气体为例如Ar(氩)等非活性气体。吹扫气体向晶圆W的表面喷射,由此,将过量地化学地吸附于晶圆W的前体气体的原子或者分子(残留气体成分)自晶圆W去除。由此,在晶圆W的表面形成了前体气体的原子或者分子化学地吸附而成的原子层或者分子层。
基板处理装置10具有包含喷射部16a、排气口18a以及喷射口20a的单元U。即,喷射部16a、排气口18a以及喷射口20a形成为构成单元U的部位。单元U以抵接于处理容器12的上部构件12b的下表面的方式安装于处理容器12。
在处理容器12的上部构件12b设有气体供给路径。前体气体的气体供给源16g经由阀16v以及质量流量控制器等流量控制器16c连接于气体供给路径。另外,气体供给路径的下端连接于空间16d。喷射部16a的喷射口16h连接于空间16d。
在处理容器12的上部构件12b设有气体供给路径12r。吹扫气体的气体供给源20g经由阀20v以及质量流量控制器等流量控制器20c连接于气体供给路径12r。气体供给路径12r的下端与空间20d相连通,其下端作为喷射口20a发挥功能。
排气路径的上端与设于处理容器12的上部构件12b的排气路径12q连接。排气路径12q连接于真空泵等排气装置34。排气路径与空间18d相连通。
如果从喷射口20a喷射吹扫气体,那么自排气口18a沿着旋转台14的表面排出吹扫气体。由此,抑制向第1区域R1供给的前体气体向第1区域R1外泄出。此外由于从喷射口20a喷射吹扫气体且自排气口18a沿着旋转台14的面排出吹扫气体,所以能够抑制向第2区域R2供给的反应气体或者反应气体的自由基等进入第1区域R1内。即,在基板处理装置10中,通过来自第2气体供给部20的吹扫气体的喷射以及来自排气部18的排气,从而将第1区域R1的气氛与第2区域R2的气氛分开。
基板处理装置10在作为第2区域R2的上方的、上部构件12b的开口AP处具有以与旋转台14的上表面相面对的方式设置的等离子体生成部22。等离子体生成部22具有天线22a和向天线22a供给微波以及反应气体的同轴波导管22b。在上部构件12b形成有例如3个开口AP,如图1所示,基板处理装置10具有例如3个等离子体生成部22。
等离子体生成部22向第2区域R2供给反应气体以及微波,在第2区域R2生成反应气体的等离子体。在将含氮气体用作反应气体的情况下,能够使化学地吸附于晶圆W的原子层或者分子层氮化。作为反应气体,能够使用例如N2(氮)或者NH3(氨)等含氮气体。
天线22a以堵塞开口AP的方式气密地配置于等离子体生成部22。天线22a具有顶板40、缝隙板42、滞波板44以及冷却板46。顶板40是由电介质形成的圆角的大致正三角形状的构件,例如由氧化铝陶瓷等形成。顶板40以其下表面从形成于处理容器12的上部构件12b的开口AP向第2区域R2暴露的方式被上部构件12b支承。在顶板40的下表面,沿着顶板40的外缘形成有第1肋40a,此外在其内侧形成有大致圆状的第2肋40b。在第2肋40b的内侧,设有向下方突出的突出部40c,在突出部40c的大致中央,形成有在厚度方向上贯穿突出部40c的喷射口40d。
虽然在顶板40的下表面利用第1肋40a以及第2肋40b等形成有凹凸,但顶板40的下表面也可以为平坦的面。由此,能够提高为了防止污染而形成于顶板40的下表面的涂敷膜的密合性。
在顶板40的上表面配置有缝隙板42。缝隙板42是形成为圆角的大致正三角形状的板状的金属制构件。在缝隙板42,在轴线P的方向上与顶板40的喷射口40d重合的位置设有开口。另外,在缝隙板42形成有多个缝隙对。在各缝隙对,含有相互正交或者交叉的两个缝隙孔。这些缝隙对在缝隙板42的面内沿周向以半径不同的同心圆状形成有多个。
在缝隙板42的上表面设有滞波板44。滞波板44是由电介质形成的圆角的大致正三角形状的构件,例如由氧化铝陶瓷等形成。在滞波板44设有用于配置同轴波导管22b的外侧导体62b的大致圆筒状的开口。
在滞波板44的上表面设有冷却板46。冷却板46利用在形成于其内部的流路中流通的制冷剂经由滞波板44冷却天线22a。冷却板46的表面为金属制。在冷却板46上设有将冷却板46的整面或者多个部分按压于滞波板44的按压部47。按压部47能够使用例如螺旋弹簧垫圈等弹簧构成。
冷却板46、滞波板44、缝隙板42以及顶板40通过按压部47的按压力相互密合。由此,即是由于来自大气压、等离子体的热而欲使顶板40变形,冷却板46、滞波板44、缝隙板42以及顶板40也能够持续密合。其结果,天线22a能够借助冷却板46有效地散热。另外,通过借助冷却板46的、天线22a的散热,天线22a的变形被抑制。并且,通过抑制缝隙板的变形,从而抑制由向第2区域R2放射的微波形成的电磁场分布的变动。
同轴波导管22b具有中空的大致圆筒状的内侧导体62a以及外侧导体62b。内侧导体62a从天线22a的上方贯穿滞波板44的开口以及缝隙板42的开口。内侧导体62a内的空间64与顶板40的喷射口40d连通。另外,反应气体的气体供给源62g经由阀62v以及质量流量控制器等流量控制部62c连接于内侧导体62a的上端。从阀62v供给到同轴波导管22b的反应气体经由内侧导体62a内的空间64以及顶板40的喷射口40d向第2区域R2供给。在该气体供给源62g,准备有例如等离子体激励用的Ar气体。
基板处理装置10具有波导管60以及高频发生器68。高频发生器68产生例如包含于1MHz~3THz的频带的高频。在本实施方式中,高频发生器68产生包含于300MHz~3THz的频带的微波(例如2.45GHz的微波)。高频发生器68所产生的微波经由波导管60向同轴波导管22b传播,在内侧导体62a与外侧导体62b之间的间隙传播。并且,在滞波板44内传播的微波从缝隙板42的缝隙孔向顶板40传播,从顶板40向第2区域R2放射。
另外,也从反应气体供给部22c向第2区域R2供给反应气体。反应气体供给部22c在处理容器12的上部构件12b内侧设有多个,例如配置于开口AP的周围。反应气体供给部22c朝向顶板40的下方喷射反应气体。反应气体的气体供给源50g经由阀50v以及质量流量控制器等流量控制部50c连接于反应气体供给部22c。
等离子体生成部22利用顶板40的喷射口40d以及反应气体供给部22c的喷射部50b向第2区域R2供给反应气体,利用天线22a向第2区域R2放射微波。由此,在第2区域R2中生成反应气体等离子体。
另外,如图3所示,基板处理装置10具有用于控制基板处理装置10的各结构元件的控制部70。控制部70可以是包括CPU(Central Processing Unit,中央处理单元)等控制装置、存储器等存储装置、输入输出装置等的计算机。控制部70通过使CPU按照存储器所存储的控制程序进行工作,控制基板处理装置10的各结构元件。
控制部70将控制旋转台14的旋转速度的控制信号向驱动装置24a发送。另外,控制部70将控制晶圆W的温度的控制信号向连接于加热器26的电源发送。另外,控制部70将控制前体气体的流量的控制信号向阀16v以及流量控制器16c发送。另外,控制部70将控制与排气口18a连接的排气装置34的排气量的控制信号向排气装置34发送。
控制部70将控制吹扫气体的流量的控制信号向阀20v以及流量控制器20c发送。另外,控制部70将控制微波的功率的控制信号向微波発生器68发送。另外,控制部70将控制反应气体的流量的控制信号向阀50v、阀62v、流量控制部50c以及流量控制部62c发送。另外,控制部70将控制来自排气口22h的排气量的控制信号向排气装置52发送。
并且,如图4所示,在作为旋转台的旋转台14上的基板载置区域14a、14a之间的间隙,设有成为仿真的被处理体用的收容凹部的缝隙14b、14c。缝隙14b在旋转台14的外周侧沿周向等间隔地配置于5处。缝隙14c在旋转台14的内周侧沿周向等间隔地配置于5处。在本实施方式中,缝隙14b、14c在俯视时均具有等腰三角形的形状,缝隙14c小于缝隙14b。当然这些缝隙14b、14c的形状并不限定于那样的三角形的形状,能够形成为任意的形状。
并且在各缝隙14b收容有相同形状相同大小的仿真的被处理体OD,此外在各缝隙14c收容有相同形状相同大小的仿真的被处理体ID。在本实施方式中,由于成膜对象的晶圆W1~W5为硅晶圆,所以这些仿真的被处理体OD、ID的材质也由相同的硅构成。此外由于成膜对象的晶圆W1~W5在其表面形成有图案,所以使用在各仿真的被处理体OD、ID的表面也形成有图案的被处理体OD、ID。
实施方式的基板处理装置10以上那样地构成,对于晶圆W1~W5,如果对例如在其表面形成SiN膜的成膜工艺加以说明,那么首先在第1区域R1,利用第1气体供给部16供给DCS这样的前体气体。由此,前体气体所含有的Si化学地或者物理地吸附于晶圆W上。
接着,伴随着旋转台14的旋转,晶圆W通过第1区域R1和第2区域R2之间。此时,晶圆W曝露于由第2气体供给部20供给的吹扫气体。因此,过量地化学吸附于晶圆W的含有Si的前体气体被从晶圆W的表面去除。
此外伴随着旋转台14的旋转,晶圆W向第2区域R2内移动。在第2区域R2,等离子体生成部22向第2区域R2供给反应气体,且向该第2区域R2供给微波。因此,在第2区域R2生成反应气体的等离子体。在该示例中,为了使化学吸附于晶圆W的前体气体氮化,使用含有氮的N2气体、NH3气体、NO、NO2等作为反应气体。利用该反应气体的等离子体,使化学地吸附于晶圆W的表面的前体气体氮化。
这样地,通过旋转台14的旋转,从而对晶圆W反复地进行基于前体气体的处理工序、吹扫工序以及氮化处理工序。由此,在晶圆W上形成硅氮化膜。此外同时并行地对晶圆W1~W5依次且反复地进行处理。
并且在上述成膜处理时,不仅是旋转台上的晶圆W1~W5被成膜处理,仿真的被处理体OD、ID的表面也同样被成膜处理。即,最初供给的自由基不仅向晶圆W1~W5的表面供给,也向载置于缝隙14b、14c的仿真的被处理体OD、ID的表面供给,而被消耗。因而在使旋转台14旋转的期间,在旋转台14上的晶圆W1~W5与仿真的被处理体OD、ID的表面,每单位面积附着相同水平的自由基,在经过此后的吹扫后的反应气体的供给时,每单位面积也附着相同水平的成膜种。因此,晶圆W1~W5的面内的径向的膜厚,即,图4中的Center与Edge的膜厚也变得相等,提高径向的膜厚的均匀性。
图5是表示有仿真的被处理体OD、ID的情况下和无仿真的被处理体OD、ID的情况下的径向上的、晶圆上的自由基的消耗量的图表,在没有仿真的被处理体OD、ID的情况下,晶圆的两端部处的自由基的消耗量少于晶圆的中心部的自由基的消耗量,在设置了仿真的被处理体OD、ID的情况下,对于自由基的消耗量,两端部与中心为同等水平,整体变得平坦。
并且在这样的背景下,将仅有裸晶圆(没有仿真的被处理体)的情况下、仅有形成了图案的晶圆(没有仿真的被处理体)的情况下以及将仿真的被处理体与形成了图案的晶圆并用的情况下的、各晶圆的连结旋转台14的中心与基板中心的径向的膜厚的分布示于图6。
从该结果可知,在仅有裸晶圆的情况下,存在如下倾向:在晶圆中,随着从旋转台的外缘侧端部(Edge)向旋转台14的中心侧端部(Center)行进,沉积速度略有下降,但在仅有形成了图案的晶圆(没有仿真的被处理体)的情况下,存在如下倾向:从作为旋转台14的两端部的Edge、Center向晶圆的中心行进,沉积速度下降。与此相对,在将仿真的被处理体OD、ID与形成了图案的晶圆并用的情况下,能够获得大致平坦的特性。因而,在将仿真的被处理体OD、ID与形成了图案的晶圆并用的情况下,即在使用实施方式的基板处理装置10进行基于PEALD的成膜处理的情况下,提高晶圆内的膜厚的均匀性。
此外,如图7所示,只要仿真的被处理体OD、ID的至少一部分或者全部配置于在使旋转台14旋转时的晶圆W的旋转台14的旋转中心侧的端部Center的移动轨迹与该端部Center的径向的相反侧的端部Edge的移动轨迹间的圆环状区域S即可。即,仿真的被处理体OD、ID的一部分或者全部配置于从旋转台14的旋转中心P到所载置的晶圆W的最近的地点与最远的地点之间的圆环状区域S即可。此外,外周侧的仿真的被处理体OD、内周侧的仿真的被处理体ID可以根据情况配置任一者,此外,在配置有两者的情况下,对于表面的图案,两者可以为相同的疏密度,或者也可以为相互不同的疏密度。
虽然所述实施方式为PEALD方式的成膜处理,但并不限定于此,本发明也可以适用于基于气体和热的ALD方式的成膜处理、以及各种的等离子体处理。等离子体源也可以是在处理容器的外部生成等离子体的独立等离子体(日文:リートプラズマ)形式的等离子体源。此外在实施方式中所用的处理容器为能够减压的真空容器,但也可以是在大气压下进行处理的处理容器。此外载置于旋转台上的基板的张数能够根据需要任意地选择。
此外在所述实施方式中,在仿真的被处理体OD、ID的表面形成有图案,通过改变该图案的疏密度、表面积,从而能够在径向上控制沉积速度。此外对于仿真的被处理体OD、ID的表面的材质,并不一定为与作为处理对象的晶圆的材质相同的材质。
在所述实施方式中,虽然将晶圆用作基板,但并不限定于此,也可以是玻璃基板,此外对于基板的形状可以不是圆形,也可以是矩形。
本发明对于将多个基板载置于设于处理容器内的旋转台上而同时进行处理的批次式的基板处理是有用的。
通过上述的内容,本发明的各种各样的实施例是为了说明的目的而记载的,另外,在不脱离本发明的范围以及思想的情况下能够进行各种各样的变形是可以理解的。因此,在此记载的各种实施例并不是用于限制由各权利要求所指定的本质的范围以及思想。
本申请主张以2015年06月15日提出申请的日本申请特愿第2015-120040号为基础的优先权,并将其公示的全部内容引用于此。

Claims (6)

1.一种基板处理方法,在该基板处理方法中,在处理容器内将多个基板分别载置于旋转台上的多个基板载置区域内,一边使旋转台旋转一边进行这些基板的处理,其中,
在所述旋转台上的所述基板载置区域之间的间隙,配置仿真的被处理体,
在所述基板的表面形成有图案,在所述被处理体的表面形成有图案,
通过改变在所述被处理体的表面形成的图案的疏密度、表面积,以在径向上控制处理速度。
2.根据权利要求1所述的基板处理方法,其中,
所述被处理体的表面的材质与所述基板的表面的材质相同。
3.根据权利要求1所述的基板处理方法,其中,
至少所述被处理体配置于在使所述旋转台旋转时、所述基板的距旋转台的旋转中心最近的点的移动轨迹与所述基板的距旋转台的旋转中心最远的点的移动轨迹间所形成的圆环状区域。
4.一种基板处理装置,在该基板处理装置中,在处理容器内将多个基板分别载置于旋转台上的多个基板载置区域内,一边使旋转台旋转一边进行这些基板的处理,其中
在所述旋转台上的所述基板载置区域之间的间隙的规定位置,载置有仿真的被处理体,
在所述基板的表面形成有图案,在所述被处理体的表面形成有图案,
通过改变在所述被处理体的表面形成的图案的疏密度、表面积,以在径向上控制处理速度。
5.根据权利要求4所述的基板处理装置,其中,
所述被处理体的表面由与所述基板的表面的材质相同的材质构成。
6.根据权利要求4所述的基板处理装置,其中,
至少所述被处理体配置于在使所述旋转台旋转时、所述基板的距旋转台的旋转中心最近的点的移动轨迹与所述基板的距旋转台的旋转中心最远的点的移动轨迹间所形成的圆环状区域。
CN201610422960.6A 2015-06-15 2016-06-15 基板处理方法以及基板处理装置 Active CN106252268B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015120040A JP6494443B2 (ja) 2015-06-15 2015-06-15 成膜方法及び成膜装置
JP2015-120040 2015-06-15

Publications (2)

Publication Number Publication Date
CN106252268A CN106252268A (zh) 2016-12-21
CN106252268B true CN106252268B (zh) 2021-05-04

Family

ID=57516746

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610422960.6A Active CN106252268B (zh) 2015-06-15 2016-06-15 基板处理方法以及基板处理装置

Country Status (5)

Country Link
US (1) US9970109B2 (zh)
JP (1) JP6494443B2 (zh)
KR (1) KR102094576B1 (zh)
CN (1) CN106252268B (zh)
TW (1) TWI651800B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6749258B2 (ja) * 2017-01-31 2020-09-02 東京エレクトロン株式会社 マイクロ波プラズマ源、マイクロ波プラズマ処理装置、およびプラズマ処理方法
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52122236A (en) * 1976-04-07 1977-10-14 Tokyo Shibaura Electric Co Etching device
JPS60145622A (ja) * 1984-01-10 1985-08-01 Nec Corp 半導体装置の製造方法
JP2754742B2 (ja) * 1989-06-12 1998-05-20 ソニー株式会社 サセプタの回転停止位置検出方法及び気相成長装置
JPH04221820A (ja) * 1990-12-21 1992-08-12 Fujitsu Ltd 有機金属気相成長方法
JPH07249580A (ja) 1994-03-14 1995-09-26 Fujitsu Ltd 薄膜製造装置
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
JP4816545B2 (ja) * 2007-03-30 2011-11-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5292963B2 (ja) * 2008-07-16 2013-09-18 株式会社デンソー 成膜装置およびそれを用いた製造方法
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP2010245101A (ja) * 2009-04-01 2010-10-28 Hitachi High-Technologies Corp ドライエッチング方法
KR101582481B1 (ko) * 2010-11-04 2016-01-05 주식회사 원익아이피에스 기판처리장치, 그에 사용되는 커버부재, 그에 사용되는 트레이 및 기판처리방법
KR20120074851A (ko) * 2010-12-28 2012-07-06 주성엔지니어링(주) 박막 증착 방법 및 이에 이용되는 박막 증착 장치 모듈
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5954108B2 (ja) * 2012-10-23 2016-07-20 東京エレクトロン株式会社 基板処理装置

Also Published As

Publication number Publication date
KR102094576B1 (ko) 2020-03-27
TW201721797A (zh) 2017-06-16
CN106252268A (zh) 2016-12-21
US9970109B2 (en) 2018-05-15
JP6494443B2 (ja) 2019-04-03
JP2017005184A (ja) 2017-01-05
KR20160147669A (ko) 2016-12-23
US20160362789A1 (en) 2016-12-15
TWI651800B (zh) 2019-02-21

Similar Documents

Publication Publication Date Title
CN106252268B (zh) 基板处理方法以及基板处理装置
US9831067B2 (en) Film-forming apparatus
US10570512B2 (en) Substrate processing apparatus
JP6345104B2 (ja) 成膜方法
US10844489B2 (en) Film forming apparatus and shower head
US10604845B2 (en) Substrate processing apparatus and substrate processing method
JP6258184B2 (ja) 基板処理装置
JP7266346B1 (ja) 成膜装置、成膜方法及びガスノズル
US10370763B2 (en) Plasma processing apparatus
KR20190016896A (ko) 실리콘 질화막의 성막 방법 및 성막 장치
US11414753B2 (en) Processing method
US11952661B2 (en) Deposition method
JP6479550B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant