KR20210035740A - 에칭 방법, 대미지층의 제거 방법, 및 기억 매체 - Google Patents

에칭 방법, 대미지층의 제거 방법, 및 기억 매체 Download PDF

Info

Publication number
KR20210035740A
KR20210035740A KR1020200117335A KR20200117335A KR20210035740A KR 20210035740 A KR20210035740 A KR 20210035740A KR 1020200117335 A KR1020200117335 A KR 1020200117335A KR 20200117335 A KR20200117335 A KR 20200117335A KR 20210035740 A KR20210035740 A KR 20210035740A
Authority
KR
South Korea
Prior art keywords
gas
etching
oxide
plasma
treatment
Prior art date
Application number
KR1020200117335A
Other languages
English (en)
Other versions
KR102606417B1 (ko
Inventor
아키타카 시미즈
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20210035740A publication Critical patent/KR20210035740A/ko
Application granted granted Critical
Publication of KR102606417B1 publication Critical patent/KR102606417B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

[과제] CF계 가스를 포함하는 가스를 이용한 플라즈마 에칭 후의 패턴에 생성되는 대미지층을 충분히 제거할 수 있는 기술을 제공한다.
[해결 수단] 에칭 방법은, 실리콘 함유 부분 상에 형성된 에칭 대상부를 갖는 기판을 준비하는 공정과, 기판의 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라즈마에 의해 소정 패턴으로 플라즈마 에칭하는 공정과, 플라즈마 에칭에 의해, 패턴의 저부에 노출되는 실리콘 함유 부분에 C 및 F가 박혀 생성된 대미지층을 제거하는 공정을 갖고, 대미지층을 제거하는 공정은, 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼을 공급하여, 대미지층을 불소를 포함하는 라디칼로 에칭하면서 산소를 포함하는 라디칼에 의해 산화시켜 대미지층의 산화물을 형성하는 공정과, 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거하는 공정을 갖는다.

Description

에칭 방법, 대미지층의 제거 방법, 및 기억 매체{ETCHING METHOD, DAMAGE LAYER REMOVAL METHOD, AND STORAGE MEDIUM}
본 개시는, 에칭 방법, 대미지층의 제거 방법, 및 기억 매체에 관한 것이다.
반도체 디바이스의 제조 과정에 있어서는, 산화막을 에칭하는 공정이 존재한다. 산화막의 에칭에는, CF계 가스를 포함하는 처리 가스에 의한 플라즈마 에칭이 다용되고 있다. 이와 같은 CF계 가스를 포함하는 가스에 의한 플라즈마 에칭 후에는, 에칭 후의 패턴, 예를 들어 컨택트홀이나 비어홀 등의 접속공에 대미지층이 형성된다.
대미지층을 제거하는 방법으로서는, 특허문헌 1에는, 산화성 가스로부터 여기되는 플라즈마를 공급하여 대미지층을 드라이 세정하고, 드라이 세정에 의해 접속공 내에 생성된 생성물을 웨트 세정에 의해 제거하고, 추가로 접속공 내에 잔존하는 산화막을 에칭 제거하는 기술이 개시되어 있다. 또한, 특허문헌 2에는, O2를 포함하는 처리 가스에 의해 애싱 처리를 행하고, 그 다음에 CF4 가스와 O2 가스를 혼합한 처리 가스를 공급함과 함께 플라즈마화하여, 에칭공의 저부에 부착되는 대미지층을 제거하는 기술이 개시되어 있다.
일본 특허 제4282616호 공보 일본 특허공개 평6-236864호 공보
본 개시는, CF계 가스를 포함하는 가스를 이용한 플라즈마 에칭 후의 패턴에 생성되는 대미지층을 충분히 제거할 수 있는 기술을 제공한다.
본 개시의 일 태양에 따른 에칭 방법은, 실리콘 함유 부분 상에 형성된 에칭 대상부를 갖는 기판을 준비하는 공정과, 상기 기판의 상기 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라즈마에 의해 소정 패턴으로 플라즈마 에칭하는 공정과, 상기 플라즈마 에칭에 의해, 상기 패턴의 저부에 노출되는 상기 실리콘 함유 부분에 C 및 F가 박혀 생성된 대미지층을 제거하는 공정을 갖고, 상기 대미지층을 제거하는 공정은, 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼을 공급하여, 상기 대미지층을 상기 불소를 포함하는 라디칼로 에칭하면서 상기 산소를 포함하는 라디칼에 의해 산화시켜 상기 대미지층의 산화물을 형성하는 공정과, 상기 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거하는 공정을 갖는다.
본 개시에 의하면, CF계 가스를 포함하는 가스를 이용한 플라즈마 에칭 후의 패턴에 생성되는 대미지층을 충분히 제거할 수 있는 기술이 제공된다.
[도 1] 제 1 실시형태에 따른 에칭 방법을 나타내는 플로 차트이다.
[도 2a] 제 1 실시형태에 있어서의 플라즈마 에칭 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 2b] 제 1 실시형태에 있어서의 산소를 포함하는 라디칼에 의한 처리 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 2c] 제 1 실시형태에 있어서의 산화물 제거 처리 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 3] 제 2 실시형태에 따른 에칭 방법을 나타내는 플로 차트이다.
[도 4a] 제 2 실시형태에 있어서의 플라즈마 에칭 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 4b] 제 2 실시형태에 있어서의 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 처리 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 4c] 제 2 실시형태에 있어서의 산화물 제거 처리 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 5a] 제 2 실시형태에 있어서, 플라즈마 에칭 후에 포토레지스트층이 잔존한 상태를 나타내는 모식도이다.
[도 5b] 도 5a의 웨이퍼에 대해 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 처리를 행했을 때에 포토레지스트층이 제거된 상태를 나타내는 모식도이다.
[도 5c] 도 5a의 웨이퍼에 대해 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 처리를 행했을 때에 포토레지스트층의 일부가 산화된 상태로 잔존한 상태를 나타내는 모식도이다.
[도 6] 제 3 실시형태에 따른 에칭 방법을 나타내는 플로 차트이다.
[도 7a] 제 3 실시형태에 있어서의 플라즈마 에칭 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 7b] 제 3 실시형태에 있어서의 애싱 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 7c] 제 3 실시형태에 있어서의 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 처리 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 7d] 제 3 실시형태에 있어서의 산화물 제거 처리 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 8] 제 4 실시형태에 따른 에칭 방법을 나타내는 플로 차트이다.
[도 9a] 제 4 실시형태에 있어서의 웨트 처리 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 9b] 제 4 실시형태에 있어서의 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 처리 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 9c] 제 4 실시형태에 있어서의 산화물 제거 처리 후의 웨이퍼 상태를 나타내는 모식도이다.
[도 10] 제 1 및 제 2 실시형태의 에칭 방법에 이용하는 제 1의 예의 처리 시스템을 개략적으로 나타내는 수평 단면도이다.
[도 11] 도 10의 처리 시스템에 탑재된, 산화 처리 장치의 일례를 개략적으로 나타내는 단면도이다.
[도 12] 도 10의 처리 시스템에 탑재된, 산화물 제거 장치의 일례를 개략적으로 나타내는 단면도이다.
[도 13] 제 3 실시형태의 에칭 방법에 이용하는 제 2의 예의 처리 시스템을 나타내는 개략도이다.
[도 14] 제 4 실시형태의 에칭 방법에 이용하는 제 3의 예의 처리 시스템을 나타내는 개략도이다.
이하, 첨부 도면을 참조하면서, 실시형태에 대해 설명한다.
<경위 및 개요>
최초에, 본 개시의 실시형태에 따른 에칭 방법의 경위 및 개요에 대해 설명한다.
반도체 디바이스의 제조 과정에 있어서, Si 함유 부분, 예를 들어 Si 또는 SiGe 상에 형성된 에칭 대상부를, CF계 가스를 포함하는 가스에 의해 플라즈마 에칭하면, 에칭 후의 패턴(오목부)의 저부에 노출되는 Si 함유 부분 중에 C 및 F가 박혀 대미지층이 형성되는 경우가 있다.
이것에 대해, 특허문헌 1에서는, 산화성 가스로부터 여기되는 플라즈마를 공급하여 대미지층을 드라이 세정하고, 드라이 세정에 의해 접속공 내에 생성된 생성물을 웨트 세정에 의해 제거하고, 추가로 접속공 내에 잔존하는 산화막을 에칭 제거하고 있다. 또한, 특허문헌 2에서는, O2를 포함하는 처리 가스에 의해 애싱 처리를 행하고, 그 다음에 CF4 가스와 O2 가스를 혼합한 처리 가스를 공급함과 함께 플라즈마화하여, 에칭공의 저부에 부착되는 대미지층을 제거하고 있다.
그러나, 이들 기술에서는, 최근, 대미지층의 제거성이 요구 레벨까지 도달하지 않는 경우가 있다.
그래서, 일 실시형태에서는, 기판의 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라즈마에 의해 소정 패턴으로 플라즈마 에칭했을 때에, Si 함유 부분 중에 C 및 F가 박혀 형성된 대미지층을 효과적으로 제거하는 방법을 제공한다.
즉, 일 실시형태에서는, 플라즈마 에칭 후, 우선, 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 처리를 행한다. 불소를 포함하는 라디칼의 에칭에 의해 대미지층이 에칭되고, 에칭되지 않고 잔존한 대미지층은 산화물로 개질된다. 그리고, 다음에 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 대미지층의 산화물(산화된 대미지층)이 제거된다.
이와 같이, 대미지층을 산화물로 한 후에 산화물을 제거하므로, 대미지층을 충분히 제거할 수 있다.
<제 1 실시형태>
다음에, 구체적인 제 1 실시형태에 대해 설명한다. 도 1은, 제 1 실시형태에 따른 에칭 방법을 나타내는 플로 차트이다.
최초에, 에칭 대상부를 갖는 기판을 준비한다(스텝 1). 기판은, 실리콘 웨이퍼로 대표되는 반도체 웨이퍼(이하, 간단히 웨이퍼라고 기재한다)가 예시되고, 표면이 Si 함유 부분, 예를 들어 Si 또는 SiGe가 되어 있다. 또한, 에칭 대상부로서는, 실리콘 산화막(SiO2막)이 예시된다. SiO2막으로서는, 열산화막이나, TEOS막과 같은 CVD막을 들 수 있다. 한편, TEOS막이란, Si 프리커서로서, 테트라에톡시실레인(TEOS)을 이용한 CVD막이다.
다음에, 기판의 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라즈마에 의해 소정 패턴으로 플라즈마 에칭한다(스텝 2). 이 에칭에 의해 미세 패턴이 형성된다. CF계 가스란, 탄소(C)와 불소(F)를 포함하는 가스이며, CF4, C4F6, C4F8 등의 C 및 F만으로 이루어지는 가스여도, CH2F2, CHF3 등의 C, F 및 H로 이루어지는 가스여도 된다. 처리 가스에는, CF계 가스 이외에, Ar 가스 등의 다른 가스를 포함하고 있어도 된다. 스텝 2의 에칭 공정은, 일반적인 플라즈마 에칭으로 행할 수 있고, 평행 평판형의 용량 결합형 플라즈마 에칭 장치나, 마이크로파 플라즈마 에칭 장치를 이용할 수 있다.
이 플라즈마 에칭에 의해 에칭 대상부가 에칭되어 얻어진 미세 패턴은, 예를 들어 트렌치나 홀 등의 오목부를 갖고 있고, 에칭에 의해 형성된 오목부의 저부에 노출되는 Si 함유 부분, 예를 들어 Si 또는 SiGe 중에, C 및 F가 박혀 대미지층이 형성되는 경우가 있다.
그 때문에, 스텝 2의 에칭 후, 대미지층을 제거하는 후처리를 행한다(스텝 3).
이 스텝 3의 후처리 공정은, 최초에, 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 산화 처리(스텝 3-1)를 행한다. 이것에 의해 대미지층의 산화물이 형성된다. 그리고, 그 후, 대미지층의 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거한다(스텝 3-2).
구체적으로 설명하면, 본 실시형태에서는, 기판인 웨이퍼(W)는, 도 2a에 나타내는 바와 같이, 기체(11) 상에 예를 들어 산화막(12)이 형성된 구조를 갖는다. 그리고, CF계 가스를 포함하는 처리 가스에 의해 산화막(12)을 플라즈마 에칭하여 오목부(2)를 갖는 패턴(1)을 형성했을 경우에는, 오목부(2)의 저부에 노출되는 기체(11) 표면의 Si 함유 부분, 예를 들어 Si 또는 SiGe 중에, C 및 F가 박힌 대미지층(3)이 형성된다.
다음에, 스텝 3-1의 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 처리를 행하면, 도 2b에 나타내는 바와 같이, 대미지층의 산화물(4)이 형성된다. 이 때, 대미지층이 얇은 경우는, 산소를 포함하는 라디칼만으로 대미지층(3)이 산화되는 경우가 있다. 그러나, 대미지층(3)이 두꺼워지면, 산소를 포함하는 라디칼만으로는, 대미지층 내에 충분히 산소를 포함하는 라디칼이 도달할 수 없어, 대미지층(3) 내의 C나 F의 산화가 불충분해진다.
이것에 대해, 본 실시형태에서는, 산소를 포함하는 라디칼과 불소를 포함하는 라디칼을 이용한다. 대미지층(3)은 불소를 포함하는 라디칼에 의해 에칭되기 쉽기 때문에, 불소를 포함하는 라디칼에 의해, 대미지층(3)의 표면을 에칭하여 대미지층을 얇게 할 수 있다. 이 때문에, 잔존한 대미지층(3) 전체에 산소를 포함하는 라디칼이 도달하여, 대미지층(3)의 전체를 산화 개질하여 산화물(4)로 할 수 있다.
이 후, 스텝 3-2의 산화물 제거 처리에 의해, 도 2c에 나타내는 바와 같이, 산화물(4)을 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거한다.
스텝 3-1의 처리는, 산소 및 불소를 함유하는 플라즈마를 생성하여, 플라즈마 중의 산소 함유 라디칼 및 불소 함유 라디칼을 작용시키는 것에 의해 행할 수 있다. 플라즈마 중의 산소 함유 라디칼은, 전형적으로는 O2 라디칼 또는 O 라디칼이고, 불소 함유 라디칼은, 전형적으로는 F 라디칼이다. 이와 같은 처리는, 리모트 플라즈마를 이용하여 행하는 것이 바람직하다. 리모트 플라즈마는, 기판이 배치되는 처리 공간과는 별개의 플라즈마 생성 공간에서 산소 함유 플라즈마를 생성시키고, 플라즈마를 처리 공간에 반송하는 것에 의해 행해진다. 산소 이온(O2 이온) 등의 이온은 반송 중에 실활되기 쉽기 때문에, 리모트 플라즈마를 이용하는 것에 의해, 라디칼을 주체로 하는 처리를 행할 수 있다. 라디칼을 이용하는 것에 의해, 패턴에 대한 대미지를 저감할 수 있다. 플라즈마원은 특별히 한정되지 않고, 유도 결합 플라즈마나 마이크로파 플라즈마 등을 이용할 수 있다.
플라즈마의 생성에 이용하는 처리 가스로서는, 산소 함유 가스 및 불소 함유 가스를 포함하는 가스를 이용할 수 있다. 산소 함유 가스로서는 O2 가스가 적합하다. 산화 능력을 높이기 위해서, 산소 함유 가스로서 H2 가스를 첨가해도 된다. 불소 함유 가스로서는, NF3 가스, SF6 가스, F2 가스 등을 이용할 수 있다. 또한, 플라즈마를 안정시키기 위해서, 처리 가스 중에 희가스를 첨가해도 된다. 희가스는, 특별히 한정되지 않지만, Ar 가스가 바람직하다. 이 처리 시의 산소 함유 가스에 대한 불소 함유 가스의 체적 비율(불소 함유 가스/산소 함유 가스)은, 1%(1체적%) 이하인 것이 바람직하다. 이와 같은 비율로 함으로써, 다른 부분에 악영향을 주지 않고 대미지층만을 적당히 에칭하면서, 대미지층의 산화를 진행시킬 수 있다.
또한, 스텝 3-1의 압력으로서는, 13.3∼266.6Pa(100∼2000mTorr)의 범위가 바람직하고, 26.6∼133.3Pa(200∼1000mTorr)의 범위가 보다 바람직하다. 또한, 이 때의 기판 온도로서는, 0∼120℃의 범위가 바람직하고, 15∼100℃의 범위가 보다 바람직하다.
스텝 3-2의 대미지층의 산화물의 제거 처리에 이용되는, 가스를 이용한 화학적 처리로서는, 불소 함유 가스를 포함하는 처리 가스를 이용한 화학적 처리를 들 수 있다. 이 처리에 의해, 대미지층의 산화물과 처리 가스를 반응시켜, 가열 등에 의해 제거 가능한 화합물을 생성시킨다.
처리 가스에 포함되는 불소 함유 가스로서는, 불화 수소(HF) 가스 등을 들 수 있고, 불소 함유 가스 이외의 가스로서는, H2O 가스, 및 환원성 가스를 들 수 있다. 환원성 가스로서는, 암모니아(NH3) 가스, 아민계 가스를 들 수 있다. 불소 함유 가스와, H2O 가스 또는 환원성 가스를 대미지층의 산화물과 반응시키는 것에 의해, 비교적 용이하게 제거 가능한 화합물을 생성할 수 있다.
이들 중에서는, 불소 함유 가스로서 HF 가스를 이용하고, 환원성 가스로서 NH3 가스를 이용하는 것이 바람직하다. HF 가스와 NH3 가스에 의해, 종래부터 산화물 제거 처리로서 알려져 있는 화학적 산화물 제거 처리(Chemical Oxide Removal; COR)를 행할 수 있다. COR 처리에서는, 산화물의 표면에 HF 가스와 NH3 가스를 흡착시키고, 이들을 산화물과 반응시켜 불화 암모늄계 화합물을 생성하는 반응 처리를 행한 후, 가열에 의해 불화 암모늄계 화합물을 승화시키는 가열 처리를 행한다. 대미지층은 Si 함유 부분에 C 및 F가 박힌 것이기 때문에, 대미지층의 산화물은, 실리콘 산화물(SiO2)이나 C 및 F의 산화물을 포함하는 것이다. 따라서, 불화 암모늄계 화합물로서는, 예를 들어, HF 및 NH3과 SiO2에 의해 형성된 규불화 암모늄이 포함된다.
가열 처리는, 반응 처리와 별개의 장치에서 행해도 되고, 반응 처리를 행하는 챔버 내에서 반응 처리와 가열 처리를 반복하여 행하여, 불화 암모늄계 화합물을 승화시켜도 된다.
이와 같은 COR 처리에서는, 압력이 6.66∼400Pa(50∼3000mTorr)의 범위가 바람직하고, 13.3∼266.6Pa(100∼2000mTorr)의 범위가 보다 바람직하다. 또한, 이 때의 기판 온도는, 0∼120℃의 범위가 바람직하고, 20∼100℃의 범위가 보다 바람직하다.
스텝 3-2의 대미지층의 산화물의 제거 처리에 이용되는 라디칼 처리로서는, NF3 가스와 NH3 가스를 포함하는 처리 가스를 활성화시켜 형성된 F 라디칼, N 라디칼을 이용하여 행할 수 있다. 이와 같은 처리는, 기판이 배치되는 처리 공간과는 별개의 플라즈마 생성 공간에서 NF3 가스와 NH3 가스를 포함하는 가스의 플라즈마를 생성시키고, 플라즈마를 처리 공간에 반송하는 리모트 플라즈마를 이용하여 행하는 것이 바람직하다. NF3 가스, NH3가스 외에, H2 가스를 첨가해도 된다.
이 때의 압력으로서는, 13.3∼200Pa(100∼1500mTorr)의 범위가 바람직하고, 66.7∼160Pa(500∼1200mTorr)의 범위가 보다 바람직하다. 또한, 기판 온도는, 0∼120℃의 범위가 바람직하고, 15∼100℃의 범위가 보다 바람직하다. 스텝 3-2를 이와 같은 라디칼 처리로 행하는 경우에는, 스텝 3-1의 산화 처리와 동일 챔버에서 행할 수도 있다.
스텝 3-1 및 스텝 3-2에 의해 대미지층을 제거할 수 있지만, 대미지층을 보다 완전히 제거하기 위해서, 스텝 3-1과 스텝 3-2를 반복하여 행해도 된다.
본 실시형태에 의하면, CF계 가스를 포함하는 처리 가스에 의해 플라즈마 에칭하는 공정 후의 대미지층을 제거하는 후처리 공정에 있어서, 대미지층을 거의 완전히 산화 개질한 후, 산화물 제거 처리를 행하므로, 대미지층을 충분히 제거할 수 있다.
<제 2 실시형태>
다음에, 구체적인 제 2 실시형태에 대해 설명한다. 도 3은, 제 2 실시형태에 따른 에칭 방법을 나타내는 플로 차트이다.
최초에 제 1 실시형태의 스텝 1과 마찬가지로, 에칭 대상부를 갖는 기판을 준비한다(스텝 11). 기판은, 실리콘 웨이퍼로 대표되는 반도체 웨이퍼(이하, 간단히 웨이퍼라고 기재한다)가 예시되고, 표면이 Si 함유 부분, 예를 들어 Si 또는 SiGe가 되어 있다. 또한, 에칭 대상부로서는, 실리콘 산화막(SiO2막)이 예시된다.
다음에, 제 1 실시형태의 스텝 2와 마찬가지로, 기판의 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라즈마에 의해 소정 패턴으로 플라즈마 에칭한다(스텝 12). 이 에칭에 의해 미세 패턴이 형성된다.
이 플라즈마 에칭에 의해 에칭 대상부가 에칭되어 얻어진 미세 패턴은, 예를 들어 트렌치나 홀 등의 오목부를 갖고 있고, 에칭 후, 이 오목부의 저부에 대미지층이 형성되는 것 외에, 오목부의 측면 및 저면에 에칭 잔사로서 CF계의 데포물(CF폴리머)이 잔존하는 경우가 있다.
본 실시형태에서는, 플라즈마 에칭 후, 대미지층, 및 에칭 잔사로서 잔존하는 CF계의 데포물을 제거하는 후처리를 행한다(스텝 13).
본 실시형태에서는, 후처리 공정(스텝 13)은, 제 1 실시형태의 후처리 공정(스텝 3)과 마찬가지로, 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 산화 처리(스텝 13-1)와, 가스에 의한 화학적 처리 또는 라디칼 처리에 의한 산화물 제거 처리(스텝 13-2)를 갖는다.
본 실시형태에서는, 도 4a에 나타내는 바와 같이, CF계 가스를 포함하는 처리 가스에 의해 산화막(12)을 플라즈마 에칭하여 오목부(2)를 갖는 패턴(1)을 형성했을 때에, 대미지층(3) 외에, 오목부(2)의 내벽(측면, 저면)에 CF계의 데포물(5)이 형성된다.
따라서, 다음의 스텝 13-1의 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 처리에 의해, 도 4b에 나타내는 바와 같이, 제 1 실시형태와 마찬가지로 대미지층의 산화물(산화된 대미지층)(4)이 형성됨과 동시에, 산소를 포함하는 라디칼의 작용에 의해, CF계의 데포물의 산화물(산화된 CF계 데포물)(6)도 형성된다. 스텝 13-1은, 제 1 실시형태의 스텝 3-1과 마찬가지로 행할 수 있다.
그리고, 다음의 스텝 13-2의 산화물 제거 처리에 의해, 도 4c에 나타내는 바와 같이, 산화물(4) 및 산화물(6)을 제거할 수 있다. 한편, 스텝 13-2는, 제 1 실시형태의 스텝 3-2와 마찬가지로 행할 수 있다.
플라즈마 에칭 후, 도 5a에 나타내는 바와 같이, 포토레지스트층(7)이 잔존하는 경우도 있지만, 스텝 13-1은 산소를 포함하는 라디칼을 주체로 하는 처리이기 때문에, 애싱 기능을 가지게 할 수 있어, 도 5b에 나타내는 바와 같이, 포토레지스트층(7)을 애싱 제거할 수 있다. 또한, 포토레지스트층(7)이 완전히 제거될 수 없는 경우에도, 도 5c에 나타내는 바와 같이, 잔존물은 산소를 포함하는 라디칼에 의해 산화되어 산화물(9)이 되기 때문에, 다음의 스텝 13-2의 산화물 제거 처리에 의해 제거할 수 있다.
<제 3 실시형태>
다음에, 구체적인 제 3 실시형태에 대해 설명한다. 도 6은, 제 3 실시형태에 따른 에칭 방법을 나타내는 플로 차트이다.
최초에 제 1 실시형태의 스텝 1과 마찬가지로, 에칭 대상부를 갖는 기판을 준비한다(스텝 21). 기판은, 실리콘 웨이퍼로 대표되는 반도체 웨이퍼(이하, 간단히 웨이퍼라고 기재한다)가 예시되고, 표면이 Si 함유 부분, 예를 들어 Si 또는 SiGe가 되어 있다. 또한, 에칭 대상부로서는, 실리콘 산화막(SiO2막)이 예시된다.
기판의 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라즈마에 의해 소정 패턴으로 플라즈마 에칭한다(스텝 22). 이 에칭에 의해, 미세 패턴, 예를 들어 오목부가 형성된다. 에칭 시에 형성된 오목부의 저부에는, Si 함유 부분, 예를 들어 Si 또는 SiGe가 노출되어 있고, 노출된 Si 함유 부분에는, C 및 F가 박혀 대미지층이 형성된다. 또한, 오목부 내에는 CF계의 데포물(CF 폴리머)이 생성된다. 더욱이 에칭 마스크로서 포토레지스트층을 이용했을 경우에는, 에칭 후에 포토레지스트층 등이 잔존한다.
다음에, 애싱에 의해 주로 잔존한 포토레지스트층을 제거한다(스텝 23). 그러나, 애싱으로는 에칭 시에 생성된 대미지층은 거의 제거할 수 없다. CF계의 데포물(CF 폴리머)은 어느 정도 제거할 수는 있지만, 일부 잔존한다. 또한, 애싱한 후에 포토레지스트층이 다 제거되지 않고서 애싱 잔사로서 잔존하는 경우가 있다.
그 때문에, 스텝 23의 애싱 후, 대미지층 등을 제거하는 후처리(스텝 24)를 행한다.
이 스텝 24의 후처리 공정은, 제 1 실시형태 및 제 2 실시형태와 마찬가지로, 최초에, 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 산화 처리(스텝 24-1)를 행한다. 이것에 의해 대미지층의 산화물을 포함하는 산화물이 형성된다. 그리고, 그 후, 형성된 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리(스텝 24-2)에 의해 제거한다.
구체적으로 설명하면, 본 실시형태에서는, 도 7a에 나타내는 바와 같이, CF계 가스를 포함하는 처리 가스에 의해 산화막(12)을 플라즈마 에칭하여 오목부(2)를 형성했을 때에, 오목부(2)의 저부에 노출되는 기체(11) 표면의 Si 함유 부분에 대미지층(3)이 형성되고, 오목부(2)의 내벽(측면, 저면)에 CF계의 데포물(5)이 형성된다. 또한, 에칭 마스크로서 이용한 포토레지스트층(7)의 일부가 잔존한다.
이 때문에, 본 실시형태에서는, 다음의 스텝 23의 애싱 공정에서 주로 포토레지스트층(7)을 애싱 제거한다. 애싱으로서는, 종래부터 행해지고 있는 통상의 애싱을 이용할 수 있다. 예를 들어, 산소 플라즈마나 오존 등의 여기된 산소 함유 가스를 공급하는 것에 의해 행해진다. 그러나, 도 7b에 나타내는 바와 같이, 이 애싱 처리에서는 대미지층(3)은 거의 제거되지 않고 잔존한다. 또한, CF계의 데포물(5)도 일부 잔존한다. 애싱에 의해 다 제거되지 않은 포토레지스트층인 애싱 잔사(8)도 잔존하는 경우가 있다.
그래서, 본 실시형태에서는, 스텝 23의 애싱 처리 후, 후처리 공정(24)으로서, 최초에 스텝 24-1의 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 산화 처리를 행한다. 그렇게 하면, 도 7c에 나타내는 바와 같이, 잔존한 대미지층(3) 및 CF계 데포물(5)을, 산화물(4) 및 산화물(6)로 개질시킬 수 있다. 또한, 스텝 23의 애싱 처리에서 발생한 애싱 잔사(8)도 스텝 24-1에서 산화시켜 산화물(9)로 할 수 있다. 한편, 스텝 24-1은, 제 1 실시형태의 스텝 3-1과 마찬가지로 행할 수 있다.
그리고, 스텝 24의 후처리 공정에 있어서는, 다음의 스텝 24-2의 가스에 의한 화학적 처리 또는 라디칼 처리에 의한 산화물 제거 처리에 의해, 도 7d에 나타내는 바와 같이, 산화물(4) 및 산화물(6)을 제거할 수 있다. 또한, 애싱 잔사의 산화물(산화된 애싱 잔사)(9)이 존재하는 경우도, 이 처리에 의해 제거할 수 있다. 한편, 스텝 24-2는, 제 1 실시형태의 스텝 3-2와 마찬가지로 행할 수 있다.
이와 같이, 애싱 후에 대미지층 및 CF계 데포물이 잔존해도, 후처리 공정(스텝 24)으로서, 스텝 24-1의 산화 처리 및 스텝 24-2의 산화물 제거 처리를 행하는 것에 의해, 대미지층 및 CF계 데포물을 충분히 제거할 수 있다. 또한, 애싱 후에, 포토레지스트층의 일부가 애싱 잔사로서 잔존하는 경우도, 스텝 24-1의 산화 처리에 의해 이들을 산화시켜 산화물로 하고, 스텝 24-2의 산화물 제거 처리에 의해 제거할 수 있다.
<제 4 실시형태>
다음에, 구체적인 제 4 실시형태에 대해 설명한다. 도 8은, 제 4 실시형태에 따른 에칭 방법을 나타내는 플로 차트이다.
최초에 제 1 실시형태의 스텝 1과 마찬가지로, 에칭 대상부를 갖는 기판을 준비한다(스텝 31). 기판은, 실리콘 웨이퍼로 대표되는 반도체 웨이퍼(이하, 간단히 웨이퍼라고 기재한다)가 예시되고, 표면이 Si 함유 부분, 예를 들어 Si 또는 SiGe가 되어 있다. 또한, 에칭 대상부로서는, 실리콘 산화막(SiO2막)이 예시된다.
기판의 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라즈마에 의해 소정 패턴으로 플라즈마 에칭한다(스텝 32). 이 에칭에 의해 미세 패턴, 예를 들어 오목부가 형성된다. 에칭 시에 형성된 오목부의 저부에는, Si 함유 부분, 예를 들어 Si 또는 SiGe 중이 노출되어 있고, 노출된 Si 함유 부분에는, C 및 F가 박혀 대미지층이 형성된다.
다음에, 애싱에 의해 주로 잔존한 포토레지스트층을 제거한다(스텝 33). 애싱으로는 제 3 실시형태에서 설명한 바와 같이 포토레지스트층을 제거할 수 있지만, 에칭에 의해 생성된 대미지층은 거의 제거할 수 없다. CF계의 데포물(CF 폴리머)은 어느 정도 제거할 수는 있지만, 일부 잔존한다. 또한, 애싱한 후에 포토레지스트층이 다 제거되지 않고서 애싱 잔사로서 잔존하는 경우가 있다.
다음에, 웨트 처리를 행한다(스텝 34). 이것에 의해, 잔존하는 대미지층이나 CF계의 데포물, 및 애싱 잔사를 제거할 수 있다.
그러나, 오목부의 저부에 노출되는 Si 함유 부분에 존재하는 대미지층은, 웨트 처리로도 충분히 제거되지 않는다. 또한, CF계의 데포물(CF 폴리머)도 약간 잔존하는 경우가 있다.
그 때문에, 스텝 34의 웨트 처리 후, 대미지층 등을 제거하는 후처리(스텝 35)를 행한다.
이 스텝 35의 후처리 공정은, 제 1∼제 3 실시형태와 마찬가지로, 최초에, 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 산화 처리(스텝 35-1)를 행한다. 이것에 의해 대미지층 등의 산화물이 형성된다. 그리고, 그 후, 대미지층의 산화물을 포함하는 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거한다(스텝 35-2).
구체적으로 설명하면, 본 실시형태에서는, 제 3 실시형태의 도 7a와 마찬가지로, CF계 가스를 포함하는 처리 가스에 의해 산화막(12)을 플라즈마 에칭하여 오목부(2)를 갖는 패턴(1)을 형성했을 때에, 오목부(2)의 저부에 노출되는 기체(11) 표면의 Si 함유 부분에 대미지층(3)이 형성되고, 오목부(2)의 내벽(측면, 저면)에 CF계의 데포물(5)이 형성된다. 또한, 에칭 마스크로서 이용한 포토레지스트층(7)의 일부가 잔존한다.
이 때문에, 다음의 스텝 33의 애싱 공정에서 주로 포토레지스트층(7)을 애싱 제거한다. 애싱 후에는, 제 3 실시형태의 도 7b와 마찬가지로, 대미지층(3)은 거의 제거되지 않고 잔존한다. 또한, CF계의 데포물(5)도 일부 잔존한다. 애싱에 의해 다 제거되지 않은 포토레지스트층인 애싱 잔사(8)도 잔존하는 경우가 있다.
본 실시형태에서는, 다음에 스텝 34의 웨트 처리를 행한다. 웨트 처리는, 예를 들어, NH4OH와 H2O2의 혼합 수용액(SC1), HCl과 H2O2의 혼합 수용액(SC2), H2O2의 수용액, H2SO4와 H2O2의 혼합 수용액(SPM), DHF 등의 약액을 이용하여 처리한다. 이것에 의해 애싱 후의 잔존물을 제거한다. 그러나, 애싱 후, 웨트 처리함으로써, 도 9a에 나타내는 바와 같이, 애싱 잔사는 거의 제거할 수 있지만, 대미지층(3)을 충분히 제거할 수는 없고, CF계의 데포물(5)도 약간 잔존한다.
그래서, 본 실시형태에서는, 스텝 34의 웨트 에칭 후, 후처리 공정(35)으로서, 최초에 스텝 35-1의 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 산화 처리를 행한다. 그렇게 하면, 도 9b에 나타내는 바와 같이, 잔존한 대미지층(3) 및 CF계 데포물(5)을, 산화물(산화된 대미지층)(4) 및 산화물(산화된 CF계 데포물)(6)로 개질시킬 수 있다. 한편, 스텝 35-1은, 제 1 실시형태의 스텝 3-1과 마찬가지로 행할 수 있다.
그리고, 스텝 35의 후처리 공정에 있어서는, 다음의 스텝 35-2의 가스에 의한 화학적 처리 또는 라디칼 처리에 의한 산화물 제거 처리에 의해, 도 9c에 나타내는 바와 같이, 산화물(4) 및 산화물(6)을 제거할 수 있다. 한편, 스텝 35-2는, 제 1 실시형태의 스텝 3-2와 마찬가지로 행할 수 있다.
이와 같이, 웨트 처리 후에 대미지층이 잔존해도, 후처리 공정(스텝 35)으로서, 스텝 35-1의 산화 처리 및 스텝 35-2의 산화물 제거 처리를 행하는 것에 의해, 대미지층을 충분히 제거할 수 있다. 또한, 웨트 처리 후에 잔존하는 CF계 데포물도, 스텝 35-1의 산화 처리에 의해 산화되어 산화물이 되어, 스텝 35-2의 산화물 제거 처리에 의해 제거할 수 있다.
<처리 시스템>
다음에, 에칭 방법에 이용하는 처리 시스템의 예에 대해 설명한다.
[처리 시스템의 제 1의 예]
도 10은, 제 1 및 제 2 실시형태의 에칭 방법에 이용하는 제 1의 예의 처리 시스템을 개략적으로 나타내는 수평 단면도이다.
도 10에 나타내는 바와 같이, 본 예의 처리 시스템(100)은, 진공 반송실(101)을 갖고 있다. 진공 반송실(101)은 7개의 벽부를 갖고 있고, 각각 접속 포트를 갖고 있다. 이들 중 4개의 벽부에는, 각각 게이트 밸브(G)를 개재시켜, 처리 장치로서 플라즈마 에칭 장치(102), 산화 처리 장치(103), 산화물 제거 장치(104) 및 가열 장치(105)가 접속되어 있다. 진공 반송실(101) 내는, 진공 펌프에 의해 배기되어 소정의 진공도로 유지된다.
또한, 진공 반송실(101)의 다른 3개의 벽부에는 3개의 로드 록실(106)이 게이트 밸브(G1)를 개재시켜 접속되어 있다. 로드 록실(106)은, 대기 반송실(107)과 진공 반송실(101) 사이에서 피처리 기판인 웨이퍼(W)를 반송할 때에, 대기압과 진공 사이에서 압력 제어하는 것이다. 로드 록실(106)을 끼고 진공 반송실(101)의 반대측에는 대기 반송실(107)이 설치되어 있다. 3개의 로드 록실(106)은, 게이트 밸브(G2)를 개재시켜 대기 반송실(107)에 접속되어 있다.
대기 반송실(107)의 로드 록실(106) 장착 벽부와는 반대측의 벽부에는 에칭 대상부를 갖는 웨이퍼(W)를 수용하는 캐리어(FOUP 등)(C)를 장착하는 3개의 캐리어 장착 포트(108)를 갖고 있다. 또한, 대기 반송실(107)의 측벽에는, 실리콘 웨이퍼(W)의 얼라인먼트를 행하는 얼라인먼트 챔버(109)가 설치되어 있다. 대기 반송실(107) 내에는 청정 공기의 다운 플로가 형성되도록 되어 있다.
진공 반송실(101) 내에는, 웨이퍼 반송 기구(110)가 설치되어 있다. 웨이퍼 반송 기구(110)는, 플라즈마 에칭 장치(102), 산화 처리 장치(103), 산화물 제거 장치(104), 가열 장치(105), 및 로드 록실(106)에 대해서 웨이퍼(W)를 반송한다. 웨이퍼 반송 기구(110)는, 독립적으로 이동 가능한 2개의 반송 암(110a, 110b)을 갖고 있다.
대기 반송실(107) 내에는, 웨이퍼 반송 기구(111)가 설치되어 있다. 웨이퍼 반송 기구(111)는, 캐리어(C), 로드 록실(106), 얼라인먼트 챔버(109)에 대해서 실리콘 웨이퍼(W)를 반송하도록 되어 있다.
처리 시스템(100)은, 전체 제어부(112)를 갖고 있다. 전체 제어부(112)는, 처리 시스템(100)의 각 처리 장치, 진공 반송실, 로드 록실 등의 각 구성부의 동작을 제어하는 CPU를 갖는 주(主)제어부와, 입력 장치, 출력 장치, 표시 장치, 기억 장치(기억 매체)를 갖고 있다. 주제어부는, 예를 들어, 기억 장치에 내장된 기억 매체, 또는 기억 장치에 세팅된 기억 매체에 기억된 처리 레시피에 기초하여, 처리 시스템(100)의 각 구성부가 전술한 에칭 방법이 행해지도록 소정의 동작을 실행시킨다.
이와 같이 구성되는 처리 시스템(100)에 있어서는, 우선, 반송 기구(111)에 의해 대기 반송실(107)에 접속된 캐리어(C)로부터 웨이퍼(W)를 취출하고, 얼라인먼트 챔버(109)를 경유한 후에, 어느 하나의 로드 록실(106)의 게이트 밸브(G2)를 열어 그 웨이퍼(W)를 그 로드 록실(106) 내로 반입한다. 게이트 밸브(G2)를 닫은 후, 로드 록실(106) 내를 진공 배기하고, 그 로드 록실(106)이, 소정의 진공도가 된 시점에서 게이트 밸브(G1)를 열고, 반송 기구(110)의 반송 암(110a, 110b) 중 어느 하나에 의해 로드 록실(106)로부터 웨이퍼(W)를 취출한다.
그리고, 반송 암이 보지(保持)하는 웨이퍼(W)를 플라즈마 에칭 장치(102)에 반입하고, 플라즈마 에칭 장치(102)에 의해, 웨이퍼(W)의 에칭 대상부를 CF계 가스를 포함하는 처리 가스로 플라즈마 에칭한다.
다음에, 플라즈마 에칭 종료 후의 웨이퍼(W)를 반송 암에 의해 플라즈마 에칭 장치(102)로부터 반출하여, 산화 처리 장치(103)에 반입한다. 그리고 산화 처리 장치(103)에 있어서, 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의해 산화 처리를 행하여, 주로 대미지층의 산화물을 형성한다.
다음에, 산화 처리 후의 웨이퍼(W)를 반송 암에 의해 산화 처리 장치(103)로부터 반출하여, 산화물 제거 장치(104)로 반입한다. 그리고 산화물 제거 장치(104)에 의해 대미지층의 산화물을 포함하는 산화물을 제거한다.
산화물 제거 처리가 HF 가스와 NH3 가스를 이용한 COR 처리인 경우는, 처리 후에 불화 암모늄계 화합물이 생성되므로, 산화물 제거 장치(104)로 처리 후의 웨이퍼(W)를 가열 장치(105)로 반입하여, 가열에 의해 반응 생성물을 제거한다.
이와 같이 플라즈마 에칭 처리, 및 산화 처리 및 산화물 제거 처리가 이루어진 후, 반송 암에 의해 처리 후의 웨이퍼(W)를 어느 하나의 로드 록실(106)로 반송한다. 그리고, 그 로드 록실(106) 내를 대기로 되돌리고, 반송 기구(111)에 의해 로드 록실(106) 내의 웨이퍼(W)를 캐리어(C)로 되돌린다.
이상과 같은 처리를, 복수의 웨이퍼(W)에 대해 동시 병행적으로 행하여, 소정 매수의 웨이퍼(W)의 에칭 처리가 완료된다.
· 플라즈마 에칭 장치
상기 처리 시스템(100)에 탑재된 플라즈마 에칭 장치(102)는, 일반적인 플라즈마 에칭을 행할 수 있는 것이면 되고, 평행 평판형의 용량 결합형 플라즈마 에칭 장치나, 마이크로파 플라즈마 에칭 장치가 예시된다. 플라즈마 에칭 시에는, CF계 가스를 포함하는 처리 가스를 이온화하여, 그 이온에 의해 산화막 등의 에칭 대상부를 이방성 에칭한다.
· 산화 처리 장치
다음에, 상기 처리 시스템(100)에 탑재된 산화 처리 장치(103)의 일례에 대해 설명한다.
도 11은, 산화 처리 장치의 일례를 나타내는 단면도이다. 도 11에 나타내는 바와 같이, 산화 처리 장치(103)는, 웨이퍼(W)를 수용하는 밀폐 구조의 처리 용기(128)를 구비한다. 처리 용기(128)는, 예를 들어, 알루미늄 또는 알루미늄 합금으로 이루어지고, 상단이 개방되고, 처리 용기(128)의 상단은 천정부가 되는 덮개(129)로 폐색되어 있다. 처리 용기(128)의 측벽부(128a)에는, 진공 반송실(101)과의 사이에서 웨이퍼(W)를 반출입하는 반출입구(130)가 설치되고, 당해 반출입구(130)는 전술한 게이트 밸브(G)에 의해 개폐 가능하게 되어 있다.
또한, 처리 용기(128)의 내부의 저부에는, 웨이퍼(W)를 수평 상태로 재치하는 스테이지(120)가 배치되어 있다. 스테이지(120)는 대략 원주상을 나타내고, 웨이퍼(W)를 직접 재치하는 재치 플레이트(134)와, 재치 플레이트(134)를 지지하는 베이스 블록(135)을 갖는다. 재치 플레이트(134)의 내부에는 웨이퍼(W)를 온조(溫調)하는 온도 조절 기구(136)가 설치되어 있다. 온도 조절 기구(136)는, 예를 들어, 온도 조절용 매체(예를 들어, 물 또는 갈덴)가 순환하는 관로(도시하지 않음)를 갖고, 당해 관로 내를 흐르는 온도 조절용 매체와 웨이퍼(W)의 열교환을 행하는 것에 의해 웨이퍼(W)의 온도 조정을 행한다. 또한, 스테이지(120)에는 웨이퍼(W)를 처리 용기(128)의 내부로 반출입할 때에 이용하는 복수의 승강 핀(도시하지 않음)이 재치 플레이트(134)의 상면에 대해서 돌몰(突沒) 가능하게 설치되어 있다.
처리 용기(128)의 내부는 칸막이판(137)에 의해 상방의 플라즈마 생성 공간(P)과, 하방의 처리 공간(S)으로 칸막이된다. 칸막이판(137)은, 처리 공간(S)으로부터 칸막이판(137)을 보았을 때에 서로 겹치지 않는 슬릿이 설치된 판상 부재(143) 및 판상 부재(144)를 갖는다. 판상 부재(143)와 판상 부재(144) 사이에는 스페이서(145)가 배치된다. 따라서, 칸막이판(137)은, 플라즈마 생성 공간(P)에 있어서 유도 결합 플라즈마가 생성될 때에 플라즈마 중의 이온의 플라즈마 생성 공간(P)으로부터 처리 공간(S)으로의 투과를 억제하는, 이른바 이온 트랩으로서 기능한다. 플라즈마 생성 공간(P)은 플라즈마가 생성되는 공간이며, 처리 공간(S)은 웨이퍼(W)에 라디칼 처리에 의한 에칭이 실시되는 공간이다. 처리 용기(128)의 외부에는, 산화 처리에 이용하는 처리 가스를 플라즈마 생성 공간(P)에 공급하는 제 1 가스 공급부(161)와, 조압 가스, 퍼지 가스 또는 희석 가스 등의 플라즈마화하지 않는 가스, 예를 들어 N2 가스 또는 Ar 가스 등의 불활성 가스를 처리 공간(S)에 공급하는 제 2 가스 공급부(162)가 설치되어 있다. 또한, 처리 용기(128)의 저부에는 배기 기구(139)가 접속되어 있다. 배기 기구(139)는 진공 펌프를 가져, 처리 공간(S)의 내부의 배기를 행한다.
칸막이판(137)의 아래에는, 웨이퍼(W)에 대향하도록 차열판(148)이 설치되어 있다. 차열판(148)은, 플라즈마 생성 공간(P)에서의 플라즈마 생성을 반복하는 것에 의해 칸막이판(137)에 축적된 열이 처리 공간(S)에 있어서의 라디칼 분포에 영향을 주는 것을 억제하기 위한 것이다. 차열판(148)은, 칸막이판(137)의 판상 부재(144)보다도 크게 형성되고, 주연부(周緣部)를 구성하는 플랜지부(148a)는 처리 용기(128)의 측벽부(128a)에 매설되어 있다. 한편, 플랜지부(148a)에는 냉각 기구(150), 예를 들어, 냉매 유로, 칠러나 펠티어 소자가 매설되어 있다.
제 1 가스 공급부(161)는, 산소 함유 가스로서, 예를 들어 O2 가스, 불소 함유 가스로서, 예를 들어, NF3 가스, SF6 가스, 또는 F2 가스를 플라즈마 생성 공간(P)에 공급한다. 또한, 다른 가스로서 H2 가스, 희가스, 예를 들어 Ar 가스를 플라즈마 생성 공간(P)에 공급한다. 이들 가스는, 플라즈마 생성 공간(P)에서 플라즈마화된다. 한편, 희가스는 플라즈마 생성 가스로서 기능하지만, 압력 조정 가스나 퍼지 가스 등으로서도 기능한다.
또한, 산화 처리 장치(103)는 RF 안테나를 이용하는 유도 결합형의 플라즈마 에칭 장치로서 구성되어 있다. 처리 용기(128)의 천정부가 되는 덮개(129)는, 예를 들어, 원형의 석영판으로 형성되어, 유전체창으로서 구성된다. 덮개(129)의 위에는, 처리 용기(128)의 플라즈마 생성 공간(P)에 유도 결합 플라즈마를 생성하기 위한 환상의 RF 안테나(140)가 형성되고, RF 안테나(140)는 정합기(141)를 개재시켜 고주파 전원(142)에 접속되어 있다. 고주파 전원(142)은, 유도 결합의 고주파 방전에 의한 플라즈마의 생성에 적절한 소정의 주파수(예를 들어 13.56MHz 이상)의 고주파 전력을 소정의 출력치로 출력한다. 정합기(141)는, 고주파 전원(142)측의 임피던스와 부하(RF 안테나(140)나 플라즈마)측의 임피던스의 정합을 취하기 위한 리액턴스 가변의 정합 회로(도시하지 않음)를 갖는다.
이와 같이 구성된 산화 처리 장치(103)에 있어서는, 웨이퍼(W)를 처리 용기(128) 내에 반입하여, 스테이지(120)에 재치한다.
다음에, 제 2 가스 공급부(162)로부터, 조압 가스로서 예를 들어 N2 가스를 처리 용기(128) 내에 도입하여, 압력을 조정하면서, 온도 조절 기구(136)에 의해 0.1∼120℃로 온조된 스테이지(120) 상에서, 웨이퍼(W)를 소정 시간 보지하여, 웨이퍼 온도를 소정 온도로 안정화시킨다.
다음에, 처리 용기(128) 내를 퍼지한 후, 처리 용기(128) 내의 압력을, 바람직하게는 13.3∼266.6Pa(100∼2000mTorr), 보다 바람직하게는 26.6∼133.3Pa(200∼1000mTorr)로 한다. 또한, 스테이지(120)의 온도를, 바람직하게는 15∼100℃로 한다.
산화 처리는, 제 1 가스 공급부(161)로부터 플라즈마 생성 공간(P)에 산소 함유 가스로서의 O2 가스, 및 불소 함유 가스로서의 NF3 가스를 공급함과 함께, RF 안테나(140)에 고주파 전력을 공급하여 유도 결합 플라즈마인 산소와 불소를 함유하는 플라즈마를 생성한다. 이 때, 불소 함유 가스로서는, SF6 가스나 F2 가스 등이어도 된다. 또한, O2 가스나 NF3 가스에 더하여, H2 가스 및 Ar 가스 등의 희가스의 적어도 1종을 공급해도 된다. 이 때의 가스 유량은, 예를 들어, O2 가스 유량: 100∼2500sccm, NF3 가스 유량: 1∼20sccm이 바람직하고, O2 가스에 대한 NF3 가스의 체적 비율(유량비)(NF3/O2)은 1%(1체적%) 이하인 것이 바람직하다. 또한, 플라즈마 생성 파워는, 100∼1000W가 바람직하다. 처리 시간은, 예를 들어, 30∼180sec이다.
플라즈마 생성 공간(P)에서 생성된 산소와 불소를 함유한 플라즈마는, 처리 공간(S)에 반송된다. 이 때에, 칸막이판(137)에서 이온이 실활되고, 플라즈마 중의 주로 O 라디칼, O2 라디칼, F 라디칼이 선택적으로 처리 공간(S)에 도입된다. 이 때, F 라디칼에 의해 오목부의 저부에 존재하는 대미지층의 표면이 에칭됨과 함께, O 라디칼, O2 라디칼에 의해 대미지층이 산화되어, 대미지층의 산화물이 형성된다. 또한, 패턴 오목부의 내벽에 CF계 데포물이 존재하는 경우는, CF계 데포물의 산화물도 형성된다.
산화 처리 장치(103)는 산소를 포함하는 라디칼을 주체로 하는 처리를 행하는 것이기 때문에, 애싱 기능도 가지게 할 수 있어, 플라즈마 에칭 후에 포토레지스트층이 잔존하는 경우에, 포토레지스트층을 애싱 제거할 수도 있다. 포토레지스트층이 완전히 제거될 수 없는 경우여도, 잔존물은 산소를 포함하는 라디칼에 의해 산화되기 때문에, 산화물 제거 처리에 의해 제거할 수 있다.
· 산화물 제거 장치
다음에, 상기 처리 시스템(100)에 탑재된 산화물 제거 장치(104)의 일례에 대해 설명한다. 본 예에서는, 산화물 제거 처리로서 COR 처리를 행하는 장치에 대해 설명한다.
도 12는, 산화물 제거 장치의 일례로서의 COR 장치를 나타내는 단면도이다. 도 12에 나타내는 바와 같이, 산화물 제거 장치(104)는, 처리 공간을 규정하는 처리 용기로서의 밀폐 구조의 챔버(170)를 구비하고 있고, 챔버(170)의 내부에는, 웨이퍼(W)를 대략 수평으로 한 상태로 재치시키는 재치대(172)가 설치되어 있다. 또한, 산화물 제거 장치(104)는, 챔버(170) 내에 에칭 가스를 공급하는 가스 공급부(173), 챔버(170) 내를 배기하는 배기부(174)를 구비하고 있다.
챔버(170)는, 챔버 본체(181)와 덮개부(182)에 의해 구성되어 있다. 챔버 본체(181)는, 대략 원통 형상의 측벽부(181a)와 저부(181b)를 갖고, 상부는 개구가 되어 있고, 이 개구가 덮개부(182)로 폐지(閉止)된다. 측벽부(181a)와 덮개부(182)는, 실링 부재(도시하지 않음)에 의해 밀폐되어, 챔버(170) 내의 기밀성이 확보된다. 덮개부(182)의 천벽에는 상방으로부터 챔버(170) 내를 향해 제 1 가스 도입 노즐(191) 및 제 2 가스 도입 노즐(192)이 삽입되어 있다.
측벽부(181a)에는, 진공 반송실(101)과의 사이에서 웨이퍼(W)를 반출입하는 반출입구(183)가 설치되어 있고, 이 반출입구(183)는 게이트 밸브(G)에 의해 개폐 가능하게 되어 있다.
재치대(172)는, 평면시 대략 원형을 이루고 있고, 챔버(170)의 저부(181b)에 고정되어 있다. 재치대(172)의 내부에는, 재치대(172)의 온도를 조절하는 온도 조절기(195)가 설치되어 있다. 온도 조절기(195)는, 예를 들어 온도 조절용 매체(예를 들어 물 등)가 순환하는 관로를 구비하고 있고, 이와 같은 관로 내를 흐르는 온도 조절용 매체와 열교환이 행해지는 것에 의해, 재치대(172)의 온도가 조절되어, 재치대(172) 상의 웨이퍼(W)의 온도 제어가 이루어진다.
가스 공급부(173)는, 전술한 제 1 가스 도입 노즐(191) 및 제 2 가스 도입 노즐(192)에 접속된, 제 1 가스 공급 배관(201) 및 제 2 가스 공급 배관(202)을 갖고 있고, 추가로 이들 제 1 가스 공급 배관(201) 및 제 2 가스 공급 배관(202)에 각각 접속된 HF 가스 공급원(203) 및 NH3 가스 공급원(204)을 갖고 있다. 또한, 제 1 가스 공급 배관(201)에는 제 3 가스 공급 배관(205)이 접속되고, 제 2 가스 공급 배관(202)에는 제 4 가스 공급 배관(206)이 접속되어 있다. 이들 제 3 가스 공급 배관(205) 및 제 4 가스 공급 배관(206)에는, 각각 Ar 가스 공급원(207) 및 N2 가스 공급원(208)이 접속되어 있다. 제 1∼제 4 가스 공급 배관(201, 202, 205, 206)에는 유로의 개폐 동작 및 유량 제어를 행하는 유량 제어부(209)가 설치되어 있다. 유량 제어부(209)는 예를 들어 개폐 밸브 및 매스 플로 컨트롤러 등의 유량 제어기에 의해 구성되어 있다.
그리고, HF 가스 및 Ar 가스는, 제 1 가스 공급 배관(201), 제 1 가스 도입 노즐(191)을 거쳐 챔버(170) 내로 공급되고, NH3 가스 및 N2 가스는, 제 2 가스 공급 배관(202) 및 제 2 가스 도입 노즐(192)을 거쳐 챔버(170) 내로 토출된다.
상기 가스 중 HF 가스와 NH3 가스는 반응 가스이며, 이들은 각각 제 1 가스 도입 노즐(191) 및 제 2 가스 도입 노즐(192)로부터 별개로 챔버(170) 내에 토출되어, 챔버(170) 내에서 비로소 혼합되도록 되어 있다. Ar 가스 및 N2 가스는 희석 가스이다. 그리고, 챔버(170) 내에, 반응 가스인 HF 가스 및 NH3 가스와, 희석 가스인 Ar 가스 및 N2 가스를 도입하고, HF 가스 및 NH3 가스와 패턴 오목부의 벽부에 형성된 대미지층의 산화물을 포함하는 산화물을 반응시켜, 반응 생성물로서 불화 암모늄계 화합물을 생성시킨다. 희석 가스로서는, Ar 가스만, 또는 N2 가스만이어도 되고, 또한, 다른 불활성 가스를 이용해도, Ar 가스, N2 가스 및 다른 불활성 가스의 2종 이상을 이용해도 된다.
한편, 챔버(170)의 상부에 샤워 플레이트를 설치하여, 샤워 플레이트를 개재시켜 여기된 가스를 샤워상으로 공급해도 된다.
배기부(174)는, 챔버(170)의 저부(181b)에 형성된 배기구(211)에 연결되는 배기 배관(212)을 갖고 있다. 배기부(174)는, 추가로, 배기 배관(212)에 설치된, 챔버(170) 내의 압력을 제어하기 위한 자동 압력 제어 밸브(APC)(213) 및 챔버(170) 내를 배기하기 위한 진공 펌프(214)를 갖고 있다.
챔버(170)의 측벽에는, 챔버(170) 내의 압력을 계측하기 위한 압력계로서, 각각 고압용 및 저압용의 2개의 캐패시턴스 마노미터(216a, 216b)가, 챔버(170) 내에 삽입되도록 설치되어 있다. 재치대(172)에 재치된 웨이퍼(W)의 근방에는 온도 센서(도시하지 않음)가 설치되어 있다.
이와 같이 구성된 산화물 제거 장치(104)에 있어서는, 웨이퍼(W)를 챔버(170) 내에 반입하여, 재치대(172)에 재치한다. 그리고, 챔버(170) 내의 압력을, 바람직하게는, 6.66∼400Pa(50∼3000mTorr)의 범위, 보다 바람직하게는, 13.3∼266.6Pa(100∼2000mTorr)의 범위로 한다. 또한, 재치대(172)의 온도 조절기(195)에 의해 웨이퍼(W)를 바람직하게는 0.1∼120℃, 보다 바람직하게는, 20∼100℃로 한다.
그 다음에, 가스 공급부(173)에 의해 HF 가스 및 NH3 가스를, 각각 Ar 가스나 N2 가스로 희석된 상태로 챔버(170) 내로 공급한다. 희석 가스인 Ar 가스, N2 가스는 어느 한쪽이어도 된다. 이 때의 가스 유량은, HF 가스 유량: 50∼500sccm, NH3 가스 유량: 50∼500sccm, Ar 가스 유량: 100∼600sccm, N2 가스 유량: 100∼600sccm이 바람직하다.
이것에 의해, HF 가스 및 NH3 가스가 웨이퍼(W)에 흡착되고, 이들이 패턴의 오목부에 존재하는 대미지층의 산화물을 포함하는 산화물과 반응하여, 불화 암모늄계 화합물이 생성된다.
반응 생성물인 불화 암모늄계 화합물은, 열에 의해 승화 제거할 수 있어, 가열 장치(105)에 의해 제거할 수 있다. 단, 본 예의 산화물 제거 장치(104)의 챔버(170) 내에서 반응 처리와 가열 처리를 반복하여 행하여, 불화 암모늄계 화합물을 승화시켜도 된다.
산화물 제거 장치(104)의 다른 예로서는, NF3 가스와 NH3 가스를 포함하는 처리 가스를 활성화시켜 형성된 F 라디칼, N 라디칼을 이용하여 산화물 제거 처리를 행하는 라디칼 처리 장치를 들 수 있다. 이와 같은 장치로서는, 도 11에 나타낸 산화 처리 장치(103)와 마찬가지의 구성으로, 제 1 가스 공급부(161)로부터 공급되는 가스를, NF3 가스와 NH3 가스를 포함하는 처리 가스로 대신한 것을 이용할 수 있다. 또한, 산화 처리 장치(103)의 구성의 장치의 제 1 가스 공급부(161)로부터 산화 처리를 위한 가스 및 산화물 제거 처리를 위한 가스를 공급할 수 있도록 하면, 하나의 처리 용기 내에서 산화 처리 및 산화물 제거 처리의 양쪽을 행할 수 있는 장치를 실현할 수 있다.
· 가열 장치
상기 처리 시스템(100)에 탑재된 가열 장치(105)는, 일반적인 구성의 것을 이용할 수 있다. 예를 들어, 도 12에 나타내는 COR 장치로서 구성된 산화물 제거 장치(104)와 마찬가지로, 챔버와, 챔버 내에서 웨이퍼를 재치하는 재치대와, 재치대의 온도를 소정의 온도로 가열하는 온도 조절 기구와, 열처리를 위한 처리 가스를 공급하는 가스 공급 기구를 갖는 것을 이용할 수 있다. 처리 가스로서는, N2 가스 등의 불활성 가스를 이용할 수 있다.
한편, 상기 예에서는, 처리 시스템(100)에 의해, 플라즈마 에칭 처리, 산화 처리, 산화물 제거 처리 등을 in-situ로 행하는 예에 대해 나타냈지만, 플라즈마 에칭 에칭 장치, 산화 처리 장치, 산화물 제거 장치 등을 각각 단독으로 이용해도 된다. 또한, 처리 시스템으로서, 산화 처리 장치, 산화물 제거 장치, 가열 장치를 갖는 것을 이용하여, 플라즈마 에칭 후의 웨이퍼에 대해, 산화 처리 및 산화물 제거 처리를 행해도 된다.
[처리 시스템의 제 2의 예]
도 13은, 제 3 실시형태의 에칭 방법에 이용하는 제 2의 예의 처리 시스템을 나타내는 개략도이다.
본 예의 처리 시스템(300)은, 에칭과 애싱을 행하는 제 1 처리부(400)와, 산화 처리 및 산화물 제거 처리를 행하는 제 2 처리부(500)를 갖는다. 제 1 처리부(400) 및 제 2 처리부(500)는, 기본적으로 모두 제 1의 예의 처리 시스템(100)과 마찬가지의 구성을 갖고 있고, 동일한 것에는 동일한 부호를 붙여 설명을 생략한다. 즉, 제 1 처리부(400) 및 제 2 처리부(500)는, 모두, 진공 반송실(101), 로드 록실(106), 대기 반송실(107), 얼라인먼트 챔버(109), 웨이퍼 반송 기구(110, 111)를 갖고 있고, 진공 반송실(101)에 접속되는 장치만이 상이하다.
제 1 처리부(400)에서는, 진공 반송실(101)에 플라즈마 에칭 장치(102)와, 애싱 장치(401)가 접속되어 있다. 제 2 처리부(500)에서는, 진공 반송실(101)에 산화 처리 장치(103)와, 산화물 제거 장치(104)와, 가열 장치(105)가 접속되어 있다.
이들 중, 플라즈마 에칭 장치(102), 산화 처리 장치(103), 산화물 제거 장치(104), 및 가열 장치(105)는, 제 1의 예에서 설명한 바와 같다.
한편, 애싱 장치(401)는, 종래부터 이용되고 있는 애싱 장치를 이용할 수 있다. 애싱 장치로서는, 예를 들어, 여기된 산소를 이용하는 것이 일반적이고, 기판을 수용한 챔버 내에 산소를 포함하는 가스의 플라즈마를 생성하여 애싱을 행하는 것이나, 오존을 챔버 내에 도입하여 애싱을 행하는 것을 이용할 수 있다. 또한, 도 11에서 설명한 산화 처리 장치(103)와 마찬가지의 구성으로, 제 1 가스 공급부(161)로부터 공급되는 가스를, O2 가스와 희가스로 대신한 것을 이용하는 것에 의해 라디칼을 이용한 애싱을 행할 수 있다.
또한, 처리 시스템(300)은, 전체 제어부(301)를 갖고 있다. 전체 제어부(301)는, 처리 시스템(300)에 있어서의 제 1 처리부(400) 및 제 2 처리부(500)의 각 처리 장치, 진공 반송실, 로드 록실 등의 각 구성부의 동작을 제어하는 CPU를 갖는 주제어부와, 입력 장치, 출력 장치, 표시 장치, 기억 장치(기억 매체)를 갖고 있다. 주제어부는, 예를 들어, 기억 장치에 내장된 기억 매체, 또는 기억 장치에 세팅된 기억 매체에 기억된 처리 레시피에 기초하여, 처리 시스템(300)의 각 구성부가 전술한 에칭 방법이 행해지도록 소정의 동작을 실행시킨다.
이와 같이 구성되는 처리 시스템(300)에 있어서는, 우선, 기판인 웨이퍼(W)를 수용한 캐리어(C)를 제 1 처리부(400)에 세팅한다. 제 1 처리부(400)에 있어서는, 우선, 웨이퍼(W)에 대해서 플라즈마 에칭 장치(102)에서 웨이퍼(W)의 에칭 대상부를 CF계 가스를 포함하는 처리 가스로 플라즈마 에칭한다. 그 다음에, 애싱 장치(401)에 의해, 포토레지스트층을 애싱 제거한다.
제 1 처리부(400)에 있어서, 이상과 같은 처리를, 복수의 웨이퍼(W)에 대해 동시 병행적으로 행하여, 캐리어(C) 내의 모든 웨이퍼(W)에 대해 처리가 종료된 후, 애싱까지 종료된 웨이퍼(W)를 수용한 캐리어(C)를 제 2 처리부(500)에 반송하여, 세팅한다.
제 2 처리부(500)에 있어서는, 우선, 산화 처리 장치(103)에 있어서, 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의해 산화 처리를 행하여, 주로 대미지층의 산화물을 형성한다. 그 다음에, 산화물 제거 장치(104)에 의해 대미지층의 산화물을 포함하는 산화물을 제거한다. 산화물 제거 처리로서 HF 가스와 NH3 가스를 이용한 COR 처리를 행했을 경우는, 웨이퍼(W)를 가열 장치(105)로 가열하여, 반응 생성물을 제거한다.
제 2 처리부(500)에서는, 이상과 같은 처리를, 복수의 웨이퍼(W)에 대해 동시 병행적으로 행하여, 캐리어(C) 내의 모든 웨이퍼(W)에 대해 처리를 행한 시점에서, 처리가 완료된다.
한편, 플라즈마 에칭 처리, 애싱 처리, 산화 처리, 산화물 제거 처리를 하나의 처리 시스템에서 모두를 in-situ로 행해도 되고, 이들 처리를 행하는 장치를 각각 단독으로 이용해도 된다.
[처리 시스템의 제 3의 예]
도 14는, 제 4 실시형태의 에칭 방법에 이용하는 처리 시스템의 제 3의 예를 나타내는 블록도이다.
본 예의 처리 시스템(600)은, 제 2의 예의 처리 시스템(300)의 제 1 처리부(400)와, 제 2 처리부(500)를 갖는 것 외에, 추가로 웨트 처리 장치(700)를 갖고 있다.
웨트 처리 장치(700)는, 상압에 있어서, 전술한 바와 같은 약액에 웨이퍼(W)를 침지하거나, 또는 웨이퍼(W)를 보지한 스핀 척을 회전시키면서, 약액 노즐로부터 웨이퍼(W) 상에 약액을 공급하여 액막을 형성하는 것에 의해 약액 처리를 행한다.
또한, 처리 시스템(600)은, 전체 제어부(601)를 갖고 있다. 전체 제어부(601)는, 처리 시스템(600)에 있어서의, 제 1 처리부(400) 및 제 2 처리부(500)의 각 처리 장치, 진공 반송실, 로드 록실 등의 각 구성부, 및 웨트 처리 장치(700)의 각 구성부의 동작을 제어하는 CPU를 갖는 주제어부를 갖고 있다. 또한, 입력 장치, 출력 장치, 표시 장치, 기억 장치(기억 매체)를 갖고 있다. 주제어부는, 예를 들어, 기억 장치에 내장되는 기억 매체, 또는 기억 장치에 세팅된 기억 매체에 기억된 처리 레시피에 기초하여, 처리 시스템(600)의 각 구성부가 전술한 에칭 방법이 행해지도록 소정의 동작을 실행시킨다.
이와 같이 구성되는 처리 시스템(600)에 있어서는, 우선, 기판인 웨이퍼(W)를 수용한 캐리어(C)를 제 1 처리부(400)에 세팅한다. 제 1 처리부(400)에 있어서는, 우선, 웨이퍼(W)에 대해서 플라즈마 에칭 장치(102)에서 웨이퍼(W)의 에칭 대상부를 CF계 가스를 포함하는 처리 가스로 플라즈마 에칭한다. 그 다음에, 애싱 장치(401)에 의해, 포토레지스트층을 애싱 제거한다.
제 1 처리부(400)에 있어서, 이상과 같은 처리를, 복수의 웨이퍼(W)에 대해 동시 병행적으로 행하여, 캐리어(C) 내의 모든 웨이퍼(W)에 대해 처리가 종료된 후, 애싱까지 종료된 웨이퍼(W)를 수용한 캐리어(C)를 웨트 처리 장치(700)에 반송하여, 세팅한다. 그리고, 웨트 처리 장치(700)에 의해 웨트 처리를 행한다. 그리고, 모든 웨이퍼(W)에 대해 웨트 처리를 행한 후, 처리 후의 웨이퍼(W)를 수용한 캐리어(C)를 제 2 처리부(500)에 반송하여, 세팅한다.
제 2 처리부(500)에서는, 제 2의 예의 처리 시스템(300)과 마찬가지로, 산화 처리 장치(103)에 대해 산화 처리를 행하여, 주로 대미지층의 산화물을 형성한다. 그 다음에, 산화물 제거 장치(104)에 의해 대미지층의 산화물을 포함하는 산화물을 제거한다. 산화물 제거 처리로서 COR 처리를 행했을 경우는, 웨이퍼(W)를 가열 장치(105)로 가열하여, 반응 생성물을 제거한다.
제 2 처리부(500)에서는, 이상과 같은 처리를, 복수의 웨이퍼(W)에 대해 동시 병행적으로 행하여, 캐리어(C)내의 모든 웨이퍼(W)에 대해 처리를 행한 시점에서, 처리가 완료된다.
한편, 플라즈마 에칭 처리, 애싱 처리, 산화 처리, 산화물 제거 처리의 모두를 하나의 처리 시스템에 탑재해도 되고, 이들 처리를 행하는 장치를 각각 단독으로 이용해도 된다.
<다른 적용>
이상, 실시형태에 대해 설명했지만, 이번 개시된 실시형태는, 모든 점에서 예시이고 제한적인 것은 아니라고 생각되어야 하는 것이다. 상기의 실시형태는, 첨부된 특허청구의 범위 및 그 주지를 일탈하지 않고, 다양한 형태로 생략, 치환, 변경되어도 된다.
예를 들어, 상기 실시형태의 장치는 예시에 지나지 않고, 여러 가지 구성의 장치를 이용할 수 있다. 또한, 피처리 기판으로서 반도체 웨이퍼를 이용했을 경우에 대해 나타냈지만, 반도체 웨이퍼에 한정하지 않고, LCD(액정 디스플레이)용 기판으로 대표되는 FPD(플랫 패널 디스플레이) 기판이나, 세라믹스 기판 등의 다른 기판이어도 된다.
1 패턴
2 오목부
3 대미지층
4, 6, 9 산화물
5 CF계 데포물
7 포토레지스트층
8 잔사물
11 기체
12 산화막
100, 300, 600 처리 시스템
102 플라즈마 에칭 장치
103 산화 처리 장치
104 산화물 제거 장치
105 가열 장치
401 애싱 장치
700 웨트 처리 장치
W 반도체 웨이퍼(기판)

Claims (20)

  1. 실리콘 함유 부분 상에 형성된 에칭 대상부를 갖는 기판을 준비하는 공정과,
    상기 기판의 상기 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라즈마에 의해 소정 패턴으로 플라즈마 에칭하는 공정과,
    상기 플라즈마 에칭에 의해, 상기 패턴의 저부에 노출되는 상기 실리콘 함유 부분에 C 및 F가 박혀 생성된 대미지층을 제거하는 공정
    을 갖고,
    상기 대미지층을 제거하는 공정은,
    산소를 포함하는 라디칼 및 불소를 포함하는 라디칼을 공급하여, 상기 대미지층을 상기 불소를 포함하는 라디칼로 에칭하면서 상기 산소를 포함하는 라디칼에 의해 산화시켜 상기 대미지층의 산화물을 형성하는 공정과,
    상기 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거하는 공정
    을 갖는, 에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭 대상부는, 실리콘 산화막인, 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 산화물을 형성하는 공정은, 산소 함유 가스와 불소 함유 가스에 의해 생성된 플라즈마를 이용하여 행하는, 에칭 방법.
  4. 제 3 항에 있어서,
    상기 산화물을 형성하는 공정에 있어서,
    상기 산소 함유 가스는, O2 가스를 포함하고,
    상기 불소 함유 가스는, NF3 가스, SF6 가스, 또는 F2 가스를 포함하는, 에칭 방법.
  5. 제 4 항에 있어서,
    상기 산화물을 형성하는 공정에 있어서, 상기 산소 함유 가스는, 추가로 H2 가스를 포함하는, 에칭 방법.
  6. 제 4 항 또는 제 5 항에 있어서,
    상기 산소 함유 가스에 대한 상기 불소 함유 가스의 체적 비율은, 1체적% 이하인, 에칭 방법.
  7. 제 3 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 산화물을 형성하는 공정은, 상기 기판이 배치되는 처리 공간과는 별개의 플라즈마 생성 공간에서 상기 플라즈마를 생성시키는 리모트 플라즈마에 의해 행하는, 에칭 방법.
  8. 제 3 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 산화물을 형성하는 공정은, 압력을 13.3∼266.6Pa의 범위, 온도를 0∼120℃의 범위로 하여 행하는, 에칭 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 산화물을 제거하는 공정은, 불소 함유 가스를 포함하는 처리 가스에 의한 화학적 처리에 의해 행하는, 에칭 방법.
  10. 제 9 항에 있어서,
    상기 불소 함유 가스를 포함하는 처리 가스는, 불소 함유 가스와, H2O 가스 또는 환원성 가스를 포함하는, 에칭 방법.
  11. 제 9 항 또는 제 10 항에 있어서,
    상기 불소 함유 가스를 포함하는 처리 가스는, 상기 불소 함유 가스로서 HF 가스를 포함하고, 환원성 가스로서 NH3 가스를 포함하는, 에칭 방법.
  12. 제 10 항 또는 제 11 항에 있어서,
    상기 산화물을 제거하는 공정은, 압력을 6.66∼400Pa의 범위, 온도를 0∼120℃의 범위로 하여 행하는, 에칭 방법.
  13. 제 11 항 또는 제 12 항에 있어서,
    상기 산화물을 제거하는 공정은, 상기 화학적 처리 후, 생성된 불화 암모늄계 화합물을 가열 제거하는, 에칭 방법.
  14. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 산화물을 제거하는 공정은, NF3 가스와 NH3 가스를 포함하는 처리 가스를 활성화시켜 형성된 F 라디칼, N 라디칼을 이용한 라디칼 처리에 의해 행하는, 에칭 방법.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 플라즈마 에칭하는 공정 후, 에칭 잔사로서 CF계의 데포물이 잔존하고,
    상기 대미지층을 제거하는 공정은, 상기 대미지층과 함께, 상기 CF계의 데포물을 제거하고,
    상기 산화물을 형성하는 공정은, 상기 대미지층의 산화물과 함께, 상기 CF계의 데포물의 산화물을 형성하고,
    상기 산화물을 제거하는 공정은, 상기 CF계 데포물의 산화물도 제거하는, 에칭 방법.
  16. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 플라즈마 에칭하는 공정 후, 에칭 마스크로서 이용한 포토레지스트층이 잔존하고,
    상기 포토레지스트층은, 상기 산화물을 형성하는 공정의 상기 산소를 포함하는 라디칼에 의해 제거되는, 에칭 방법.
  17. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 플라즈마 에칭하는 공정 후에 잔존하는, 에칭 마스크로서 이용한 포토레지스트층을 애싱 제거하는 공정을 추가로 갖고, 상기 애싱 제거하는 공정 후에 상기 대미지층을 제거하는 공정을 실시하는, 에칭 방법.
  18. 제 17 항에 있어서,
    상기 애싱 제거하는 공정 후, 웨트 처리에 의해 애싱 잔사를 제거하는 공정을 추가로 갖고, 상기 웨트 처리하는 공정 후에 상기 대미지층을 제거하는 공정을 실시하는, 에칭 방법.
  19. 실리콘 함유 부분 상에 형성된 에칭 대상부를 갖는 기판에 대해, CF계 가스를 포함하는 처리 가스의 플라즈마에 의해 소정 패턴으로 플라즈마 에칭했을 때에, 상기 패턴의 저부에 노출되는 상기 실리콘 함유 부분에 C 및 F가 박혀 생성된 대미지층을 제거하는 대미지층의 제거 방법으로서,
    산소를 포함하는 라디칼 및 불소를 포함하는 라디칼을 공급하여, 상기 대미지층을 상기 불소를 포함하는 라디칼로 에칭하면서 상기 산소를 포함하는 라디칼에 의해 산화시켜 상기 대미지층의 산화물을 형성하는 공정과,
    상기 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거하는 공정
    을 갖는, 대미지층의 제거 방법.
  20. 컴퓨터 상에서 동작하여, 처리 시스템을 제어하기 위한 프로그램이 기억된 기억 매체로서, 상기 프로그램은, 실행 시에, 제 1 항 내지 제 18 항 중 어느 한 항의 에칭 방법이 행해지도록, 컴퓨터로 상기 처리 시스템을 제어시키는 것을 특징으로 하는 기억 매체.
KR1020200117335A 2019-09-24 2020-09-14 에칭 방법, 대미지층의 제거 방법, 및 기억 매체 KR102606417B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019172528A JP7349861B2 (ja) 2019-09-24 2019-09-24 エッチング方法、ダメージ層の除去方法、および記憶媒体
JPJP-P-2019-172528 2019-09-24

Publications (2)

Publication Number Publication Date
KR20210035740A true KR20210035740A (ko) 2021-04-01
KR102606417B1 KR102606417B1 (ko) 2023-11-24

Family

ID=74882225

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200117335A KR102606417B1 (ko) 2019-09-24 2020-09-14 에칭 방법, 대미지층의 제거 방법, 및 기억 매체

Country Status (6)

Country Link
US (1) US11557486B2 (ko)
JP (1) JP7349861B2 (ko)
KR (1) KR102606417B1 (ko)
CN (1) CN112635317A (ko)
SG (1) SG10202009294UA (ko)
TW (1) TW202124777A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210358761A1 (en) * 2018-09-26 2021-11-18 Tokyo Electron Limited Etching method, method of removing etching residue, and storage medium
WO2023058642A1 (ja) * 2021-10-06 2023-04-13 東京エレクトロン株式会社 エッチング方法及びエッチング装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06236864A (ja) 1969-04-23 1994-08-23 Hitachi Ltd エッチング処理方法及びエッチングの後処理方法並びにエッチング設備
JPH09162172A (ja) * 1995-12-11 1997-06-20 Hitachi Ltd エッチングダメージの除去方法
JP2006049808A (ja) * 2004-07-06 2006-02-16 Fujitsu Ltd 半導体装置および半導体装置の製造方法
KR20070036475A (ko) * 2005-09-29 2007-04-03 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조방법
JP4282616B2 (ja) 2005-02-04 2009-06-24 株式会社東芝 半導体装置の製造方法
US20130109187A1 (en) * 2011-10-28 2013-05-02 Srinivas D. Nemani Post etch treatment (pet) of a low-k dielectric film
KR20150141135A (ko) * 2014-06-09 2015-12-17 도쿄엘렉트론가부시키가이샤 개질 처리 방법 및 반도체 장치의 제조 방법
KR20190005760A (ko) * 2017-07-06 2019-01-16 도쿄엘렉트론가부시키가이샤 에칭 방법 및 잔사 제거 방법

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56122129A (en) * 1980-02-28 1981-09-25 Nec Corp Manufacture of semiconductor device
JPH07120649B2 (ja) * 1988-07-14 1995-12-20 富士通株式会社 コンタクトホールの形成方法
JP2580373B2 (ja) * 1990-08-10 1997-02-12 大日本スクリーン製造株式会社 基板の表面処理方法
JP3277394B2 (ja) * 1992-12-04 2002-04-22 ソニー株式会社 半導体装置の製造方法
JP3529849B2 (ja) * 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
KR100290587B1 (ko) * 1998-07-18 2001-06-01 윤종용 반도체장치제조방법
KR100322545B1 (ko) 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
JP2002261077A (ja) * 2001-03-01 2002-09-13 Sharp Corp ドライエッチング方法
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
JP4488999B2 (ja) 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
JP2008166335A (ja) 2006-12-27 2008-07-17 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびプラズマ処理装置
JP4450245B2 (ja) * 2007-06-07 2010-04-14 株式会社デンソー 半導体装置の製造方法
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
JP2009302181A (ja) * 2008-06-11 2009-12-24 Tokyo Electron Ltd プラズマエッチング処理方法およびプラズマエッチング処理装置
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
JP5646882B2 (ja) * 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
JP2012119539A (ja) 2010-12-01 2012-06-21 Ulvac Japan Ltd ラジカルクリーニング方法及びラジカルクリーニング装置
WO2013150804A1 (ja) * 2012-04-06 2013-10-10 株式会社アルバック ドライエッチング方法
CN103633014B (zh) * 2012-08-21 2018-03-30 中国科学院微电子研究所 半导体器件制造方法
JP6956551B2 (ja) * 2017-03-08 2021-11-02 東京エレクトロン株式会社 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム
WO2018220973A1 (ja) * 2017-05-30 2018-12-06 東京エレクトロン株式会社 エッチング方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06236864A (ja) 1969-04-23 1994-08-23 Hitachi Ltd エッチング処理方法及びエッチングの後処理方法並びにエッチング設備
JPH09162172A (ja) * 1995-12-11 1997-06-20 Hitachi Ltd エッチングダメージの除去方法
JP2006049808A (ja) * 2004-07-06 2006-02-16 Fujitsu Ltd 半導体装置および半導体装置の製造方法
JP4282616B2 (ja) 2005-02-04 2009-06-24 株式会社東芝 半導体装置の製造方法
KR20070036475A (ko) * 2005-09-29 2007-04-03 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조방법
US20130109187A1 (en) * 2011-10-28 2013-05-02 Srinivas D. Nemani Post etch treatment (pet) of a low-k dielectric film
KR20150141135A (ko) * 2014-06-09 2015-12-17 도쿄엘렉트론가부시키가이샤 개질 처리 방법 및 반도체 장치의 제조 방법
KR20190005760A (ko) * 2017-07-06 2019-01-16 도쿄엘렉트론가부시키가이샤 에칭 방법 및 잔사 제거 방법

Also Published As

Publication number Publication date
US11557486B2 (en) 2023-01-17
JP7349861B2 (ja) 2023-09-25
JP2021052039A (ja) 2021-04-01
KR102606417B1 (ko) 2023-11-24
TW202124777A (zh) 2021-07-01
US20210090896A1 (en) 2021-03-25
SG10202009294UA (en) 2021-04-29
CN112635317A (zh) 2021-04-09

Similar Documents

Publication Publication Date Title
US7815739B2 (en) Vertical batch processing apparatus
JP6656082B2 (ja) 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム
US20020036066A1 (en) Method and apparatus for processing substrates
WO2019003663A1 (ja) エッチング方法およびエッチング装置
CN110783188B (zh) 蚀刻方法和蚀刻装置
JP2017152531A (ja) 基板処理方法
KR102606417B1 (ko) 에칭 방법, 대미지층의 제거 방법, 및 기억 매체
KR20180116327A (ko) 기판 처리 방법
KR102614944B1 (ko) 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체
JP2019024043A (ja) シリコン酸化膜を除去する方法
JP2022094914A (ja) エッチング方法およびエッチング装置
KR20110123084A (ko) 실리콘 산화막의 건식 식각 방법
TWI833877B (zh) 蝕刻方法、電漿處理裝置及處理系統
KR102052337B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20220189783A1 (en) Etching method and etching apparatus
US20230274942A1 (en) Method for manufacturing semiconductor device
JP7209567B2 (ja) エッチング方法およびエッチング装置
US20240203694A1 (en) Etching method and etching apparatus
US11302550B2 (en) Transfer method
US20090209108A1 (en) Substrate processing method

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant