KR20210025707A - 콤팩트한 고밀도 플라즈마 소스 - Google Patents

콤팩트한 고밀도 플라즈마 소스 Download PDF

Info

Publication number
KR20210025707A
KR20210025707A KR1020217005948A KR20217005948A KR20210025707A KR 20210025707 A KR20210025707 A KR 20210025707A KR 1020217005948 A KR1020217005948 A KR 1020217005948A KR 20217005948 A KR20217005948 A KR 20217005948A KR 20210025707 A KR20210025707 A KR 20210025707A
Authority
KR
South Korea
Prior art keywords
conductive line
hairpin
antenna
shaped conductive
current
Prior art date
Application number
KR1020217005948A
Other languages
English (en)
Inventor
로저 패트릭
닐 엠. 피. 벤자민
리 첸
앨렌 쇼프
클린트 에드워드 토마스
토마스 더블유. 앤더슨
상 헌 송
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210025707A publication Critical patent/KR20210025707A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/26Supports; Mounting means by structural association with other equipment or articles with electric discharge tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/36Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/30Resonant antennas with feed to end of elongated active element, e.g. unipole
    • H01Q9/42Resonant antennas with feed to end of elongated active element, e.g. unipole with folded element, the folded parts being spaced apart a small fraction of the operating wavelength
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

RF 안테나는 전력 공급될 (powered) 때, 챔버의 프로세스 영역에서 플라즈마를 유도성으로 생성하도록 구성되고, 평면을 따라 배향되는 병렬 전도성 라인들의 어레이를 포함하고, 어레이는 제 1 전도성 라인, 제 2 전도성 라인, 제 3 전도성 라인, 및 제 4 전도성 라인을 포함하고; 제 1 전도성 라인 및 제 2 전도성 라인은 인접하고, 제 2 전도성 라인 및 제 3 전도성 라인은 인접하고, 그리고 제 3 전도성 라인 및 제 4 전도성 라인은 인접하고; RF 안테나는 전력 공급될 때, 인접한 제 1 전도성 라인 및 제 2 전도성 라인의 전류 흐름은 반대 방향으로 발생하고, 인접한 제 2 전도성 라인 및 제 3 전도성 라인의 전류 흐름은 동일한 방향으로 발생하고, 인접한 제 3 전도성 라인 및 제 4 전도성 라인의 전류 흐름은 반대 방향으로 발생한다.

Description

콤팩트한 고밀도 플라즈마 소스
ALD (Atomic Layer Deposition) 는 원자 스케일 제어로 매우 컨포멀한 박막들을 제공할 수 있는 기상 증착 기법이다. 통상적인 ALD 프로세스는 퍼지 동작들에 의해 분리된 일련의 2 개의 반쪽 반응들을 수반한다. 따라서, ALD 프로세스의일 사이클은 다음의 단계들: (1) 제 1 반응 물질에 대한 기판 표면을 노출하는 단계, 노출은 제 1 반응 물질의 단일 원자 층을 증착하도록 자기-제한적; (2) 모든 남아있는 양의 제 1 반응 물질 및/또는 부산물들을 제거하기 위해 불활성 가스를 사용하여 프로세스 챔버를 퍼지하는 단계; (3) 박막 생성물을 형성하기 위해 증착된 제 1 반응 물질과 반응하는 제 2 반응 물질에 기판 표면을 노출하는 단계; (4) 모든 남아있는 양의 제 2 반응 물질 및/또는 부산물들을 제거하기 위해 불활성 가스를 사용하여 프로세스 챔버를 퍼지하는 단계를 포함할 수 있다. ALD 사이클은 박막 생성물의 두께를 구축하기 위해 목표된 수의 반복 동안 반복될 수 있다. 단일 ALD 사이클이 통상적으로 생성물 재료의 단일 원자 층을 증착하기 때문에, ALD는 고도로 제어된 두께의 컨포멀한 박막을 제공할 수 있다.
일부 ALD 프로세스들에서, 플라즈마가 반쪽-반응들 중 하나에 채용된다. ALD에 의한 고품질 막 증착을 달성하기 위해 고밀도 플라즈마를 사용하는 것이 바람직하다. 그러나, 기존의 챔버들은 ALD에 도움이 되는 작은 체적 프로세스 공간에서 고밀도 플라즈마를 제공하지 않는다. 전류 유도 결합 플라즈마 (ICP) 시스템들 (또는 변압기 결합 플라즈마 (TCP) 시스템들) 은 기판 위의 큰 프로세스 공간 (예를 들어, 기판 위 대략 6 인치 (대략 15 ㎝) 의 수직 갭) 으로 설계된다. 큰 프로세스 공간은 TCP 코일에 의해 생성된 전역 자기장의 도달을 수용하고, 그리고 플라즈마 분포의 불균일도의 영향을 최소화하기 위해 필요하다. 그러나, 이러한 큰 프로세스 공간은, 특히 ALD 사이클이 여러 번 반복될 때, 쓰루풋에 부정적인 영향을 주는, 프로세스 챔버 내외로 가스들을 순환시키기 위해 필요한 시간량으로 인해 ALD 프로세스들에 도움이 되지 않는다.
또한, 유사한 과제들이 ALE (atomic layer etch) 프로세스들에 적용된다.
이러한 맥락에서 본 개시의 구현 예들이 발생한다.
일부 구현 예들에서, RF 안테나는 전력 공급될 (powered) 때, 챔버의 프로세스 영역에서 플라즈마를 유도성으로 생성하도록 구성되고, 평면을 따라 배향되는 병렬 전도성 라인들의 어레이를 포함하고, 어레이는 제 1 전도성 라인, 제 2 전도성 라인, 제 3 전도성 라인, 및 제 4 전도성 라인을 포함하고; 제 1 전도성 라인 및 제 2 전도성 라인은 인접하고, 제 2 전도성 라인 및 제 3 전도성 라인은 인접하고, 그리고 제 3 전도성 라인 및 제 4 전도성 라인은 인접하고; RF 안테나는 전력 공급될 때, 인접한 제 1 전도성 라인 및 제 2 전도성 라인의 전류 흐름은 반대 방향으로 발생하고, 인접한 제 2 전도성 라인 및 제 3 전도성 라인의 전류 흐름은 동일한 방향으로 발생하고, 인접한 제 3 전도성 라인 및 제 4 전도성 라인의 전류 흐름은 반대 방향으로 발생한다.
일부 구현 예들에서, RF 안테나는 서로 직렬로 연결된 제 1 전도성 라인 및 제 2 전도성 라인을 포함하는 제 1 세그먼트를 포함하고; RF 안테나는 서로 직렬로 연결된 상기 제 3 전도성 라인 및 상기 제 4 전도성 라인을 포함하는 제 2 세그먼트를 포함한다.
일부 구현 예들에서, 제 1 세그먼트의 전도성 라인들이 제 2 세그먼트의 전도성 라인들에 연결되지 않도록 제 1 세그먼트는 제 2 세그먼트에 연결되지 않는다.
일부 구현 예들에서, 제 1 세그먼트 및 제 2 세그먼트 각각은 RF 전력을 수용하도록 구성된 제 1 단부 및 접지에 연결되도록 구성된 제 2 단부를 포함한다.
일부 구현 예들에서, 미리 결정된 세그먼트의 인접한 전도성 라인들은 단부 커넥터에 의해 서로 직렬로 연결된다.
일부 구현 예들에서, 단부 커넥터는 미리 결정된 세그먼트의 인접한 전도성 라인들 사이에서 전류 흐름을 인에이블함으로써 전류 흐름의 방향의 변화를 생성하도록 구성된다.
일부 구현 예들에서, 미리 결정된 세그먼트 각각은 단부 커넥터 및 미리 결정된 세그먼트의 인접한 전도성 라인들을 포함하는 단일 피스 (piece) 로서 형성된 통합 (unitary) 구조를 갖는다.
일부 구현 예들에서, 반대 방향들로 발생하는 인접한 제 1 전도성 라인 및 제 2 전도성 라인의 전류 흐름은 제 1 국부 역전류 유도 어레이를 규정하고; 반대 방향으로 발생하는 인접한 제 3 전도성 라인 및 제 4 전도성 라인의 전류 흐름은 제 2 국부 역전류 유도 어레이를 규정한다.
일부 구현 예들에서, 전도성 라인들은 챔버 내에 존재할 때 기판의 표면을 커버하는 영역을 점유하도록 구성된다.
일부 구현 예들에서, 전도성 라인들에 의해 점유된 영역은 실질적으로 원형 영역이다.
일부 구현 예들에서, 전도성 라인들은 실질적으로 직선이다.
일부 구현 예들에서, 전도성 라인들은 대략 0.1 내지 1 인치 범위의 수직 두께를 갖는다.
일부 구현 예들에서, 전도성 라인들은 대략 0.1 내지 0.5 인치 범위의 수평 폭을 갖는다.
일부 구현 예들에서, 제 1 전도성 라인 및 제 2 전도성 라인은 대략 2 내지 3 인치로 이격되고, 제 2 전도성 라인 및 제 3 전도성 라인은 대략 3 내지 4 인치로 이격되고, 제 3 전도성 라인 및 제 4 전도성 라인은 대략 2 내지 3 인치로 이격된다.
일부 구현 예들에서, 유도 플라즈마 챔버에서 사용하기 위한 무선 주파수 (RF) 안테나가 제공되고, 이는 전력 공급된 단부 및 접지된 단부를 갖는 제 1 헤어핀-형상 전도성 라인; 전력 공급된 단부 및 접지된 단부를 갖는 제 2 헤어핀-형상 전도성 라인을 포함하고; RF 전력 소스는 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 전력 공급된 단부에 연결 가능하고 상기 접지된 단부들은 접지에 연결 가능하고; RF 안테나가 챔버의 프로세싱 영역 위에 배치되게 구성되도록, 제 1 헤어핀-형상 전도성 라인은 제 2 헤어핀-형상 전도성 라인에 인접하고 평행한 배향으로 배치된다.
일부 구현 예들에서, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 전력 공급된 단부들 및 접지된 단부들은 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 RF 전력 소스에 연결되고, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 인접한 세그먼트들의 전류는 동일한 방향 또는 반대 방향으로 흐른다.
일부 구현 예들에서, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 전력 공급된 단부들 및 접지된 단부들은 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 RF 전력 소스에 연결되고, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들의 전류는 동일한 방향으로 흐른다.
일부 구현 예들에서, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들에 반대되는 세그먼트들은 동일한 방향으로 상기 전류를 흘린다.
일부 구현 예들에서, 제 1 헤어핀-형상 전도성 라인은 약 5 내지 8 ㎝ (약 2 내지 3 인치) 만큼 이격된 제 1 쌍의 평행한 세그먼트들을 규정하고; 제 2 헤어핀-형상 전도성 라인은 약 5 내지 8 ㎝ (약 2 내지 3 인치) 만큼 이격된 제 2 쌍의 평행한 세그먼트들을 규정한다.
일부 구현 예들에서, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들은 약 7 내지 10 ㎝ (약 3 내지 4 인치) 만큼 이격된다.
일부 구현 예들에서, 유도 플라즈마 챔버에서 사용하기 위한 무선 주파수 (RF) 안테나가 제공되고, 이는 전력 공급된 단부 및 접지된 단부를 갖는 제 1 헤어핀-형상 전도성 라인; 전력 공급된 단부 및 접지된 단부를 갖는 제 2 헤어핀-형상 전도성 라인; 전력 공급된 단부 및 접지된 단부를 갖는 제 3 헤어핀-형상 전도성 라인을 포함하고; 적어도 하나의 RF 전력 소스가 제 1 헤어핀-형상 전도성 라인, 제 2 헤어핀-형상 전도성 라인, 및 제 3 헤어핀-형상 전도성 라인의 전력 공급된 단부에 연결 가능하고 상기 접지된 단부들은 접지에 연결 가능하고; RF 안테나가 챔버의 프로세싱 영역 위에 배치되게 구성되도록, 제 1 헤어핀-형상 전도성 라인은 제 2 헤어핀-형상 전도성 라인에 인접하고 평행한 배향으로 배치되고, 제 2 헤어핀-형상 전도성 라인은 제 3 헤어핀-형상 전도성 라인에 인접하고 평행한 배향으로 배치된다.
일부 구현 예들에서, 제 1 헤어핀-형상 전도성 라인, 제 2 헤어핀-형상 전도성 라인, 및 제 3 헤어핀-형상 전도성 라인의 전력 공급된 단부들 및 접지된 단부들은 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 적어도 하나의 RF 전력 소스에 연결되고, 상기 적어도 하나의 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인, 제 2 헤어핀-형상 전도성 라인, 및 제 3 헤어핀-형상 전도성 라인의 인접한 세그먼트들의 전류는 동일한 방향 또는 반대 방향으로 흐른다.
일부 구현 예들에서, 제 1 헤어핀-형상 전도성 라인, 제 2 헤어핀-형상 전도성 라인, 및 제 3 헤어핀-형상 전도성 라인의 전력 공급된 단부들 및 접지된 단부들은 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 적어도 하나의 RF 전력 소스에 연결되고, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인, 및 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들의 전류는 동일한 방향으로 흐르고, 제 2 헤어핀-형상 전도성 라인, 및 제 3 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들의 전류는 동일한 방향으로 흐른다.
일부 구현 예들에서, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들에 반대되는 세그먼트들은 동일한 방향으로 상기 전류를 흘리고, 제 2 헤어핀-형상 전도성 라인 및 제 3 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들에 반대되는 세그먼트들은 동일한 방향으로 상기 전류를 흘린다.
일부 구현 예들에서, 제 1 헤어핀-형상 전도성 라인, 제 2 헤어핀-형상 전도성 라인, 및 제 3 헤어핀-형상 전도성 라인의 전력 공급된 단부들 및 접지된 단부들은 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 적어도 하나의 RF 전력 소스에 연결되고, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인, 및 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들의 전류는 반대 방향으로 흐르고, 제 2 헤어핀-형상 전도성 라인, 및 제 3 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들의 전류는 반대 방향으로 흐른다.
일부 구현 예들에서, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들에 반대되는 세그먼트들은 반대 방향으로 상기 전류를 흘리고, 제 2 헤어핀-형상 전도성 라인 및 제 3 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들에 반대되는 세그먼트들은 반대 방향으로 상기 전류를 흘린다.
일부 구현 예들에서, 제 1 헤어핀-형상 전도성 라인은 약 5 내지 8 ㎝ (약 2 내지 3 인치) 만큼 이격된 제 1 쌍의 평행한 세그먼트들을 규정하고; 제 2 헤어핀-형상 전도성 라인은 약 5 내지 8 ㎝ (약 2 내지 3 인치) 만큼 이격된 제 2 쌍의 평행한 세그먼트들을 규정하고, 제 3 헤어핀-형상 전도성 라인은 약 2 내지 8 ㎝ (약 1 내지 3 인치) 만큼 이격된 제 3 쌍의 평행한 세그먼트들을 규정한다.
일부 구현 예들에서, 제 1 헤어핀-형상 전도성 라인, 제 2 헤어핀-형상 전도성 라인 및 제 3 헤어핀-형상 전도성 라인의 인접한 세그먼트들은 약 2 내지 8 ㎝ (약 13 내지 3 인치) 만큼 이격된다.
일 실시 예에서, 유도성 챔버에서 사용하기 위한 RF (radio frequency) 안테나가 개시된다. RF 안테나는 전력 공급된 단부 및 접지된 단부를 갖는 제 1 헤어핀-형상 전도성 라인, 및 전력 공급된 단부 및 접지된 단부를 갖는 제 2 헤어핀-형상 전도성 라인을 포함한다. RF 전력 소스는 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 전력 공급된 단부에 연결 가능하고 상기 접지된 단부들은 접지에 연결 가능하다. 제 1 헤어핀-형상 전도성 라인은 제 2 헤어핀-형상 전도성 라인에 인접하고 평행한 배향으로 배치된다. RF 안테나는 챔버의 프로세싱 영역 위에 배치되도록 구성된다.
일부 실시 예들에서, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 전력 공급된 단부들 및 접지된 단부들은 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 RF 전력 소스에 연결되고, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 인접한 세그먼트들의 전류는 동일한 방향 또는 반대 방향으로 흐르도록 구성된다.
일부 실시 예들에서, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 전력 공급된 단부들 및 접지된 단부들은 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 RF 전력 소스에 연결된다. 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들에 반대되는 세그먼트들의 전류는 동일한 방향으로 흐르도록 구성된다. 일 실시 예들에서, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 제 1 헤어핀-형상 전도성 라인 및 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들에 반대되는 세그먼트들은 동일한 방향으로 상기 전류를 흘린다.
일부 구현 예들에서, RF 안테나는 전력 공급될 때, 챔버의 프로세스 영역에서 플라즈마를 유도성으로 생성하도록 구성되고, 평면을 따라 배향되는 평행한 전도성 라인들의 어레이를 포함하고; RF 안테나는 2 개 이상의 개별 세그먼트들을 포함하고, 세그먼트 각각은 직렬로 서로 연결된 2 개 이상의 상기 전도성 라인들을 포함한다.2. 제 1 항에 있어서, RF 안테나가 전력 공급될 때, 인접한 전도성 라인들의 전류 흐름은 국부적인 동일-방향 전류 또는 국부적인 역전류를 형성하기 위해 동일한 방향 또는 반대 방향 중 하나로 발생하는, RF 안테나.
일부 구현 예들에서, 반대 방향들로 발생하는 인접한 전도성 라인들의 전류 흐름은 전도성 라인들 각각에 의해 생성된 자기장이 전도성 라인들 각각으로 각각 국부화되도록 역전류 유도성 어레이를 규정한다.
일부 구현 예들에서, RF 안테나가 전력 공급될 때, 적어도 2 개의 인접한 전도성 라인들의 전류 흐름은 동일한 방향으로 발생한다.
일부 구현 예들에서, RF 안테나가 전력 공급될 때, 적어도 2 개의 인접한 전도성 라인들의 전류 흐름은 반대 방향들로 발생한다.
일부 구현 예들에서, 미리 결정된 세그먼트의 전도성 라인들이 또 다른 세그먼트의 전도성 라인들에 연결되지 않도록 세그먼트 각각은 또 다른 세그먼트에 연결되지 않는다.
일부 구현 예들에서, 세그먼트 각각은 RF 전력을 수용하도록 구성된 제 1 단부 및 접지에 연결되도록 구성된 제 2 단부를 포함한다.
일부 구현 예들에서, 미리 결정된 세그먼트의 인접한 전도성 라인들은 단부 커넥터에 의해 서로 직렬로 연결된다.
일부 구현 예들에서, 단부 커넥터는 미리 결정된 세그먼트의 인접한 전도성 라인들 사이에서 전류 흐름을 인에이블함으로써 전류 흐름의 방향의 변화를 생성하도록 구성된다.
일부 구현 예들에서, 미리 결정된 세그먼트는 단부 커넥터 및 미리 결정된 세그먼트의 인접한 전도성 라인들을 포함하는 단일 피스로서 형성된 통합 구조를 갖는다.
일부 구현 예들에서, 전도성 라인들은 챔버 내에 존재할 때 기판의 표면을 커버하는 영역을 점유하도록 구성된다.
일부 구현 예들에서, 전도성 라인들에 의해 점유된 영역은 실질적으로 원형 영역이다.
일부 구현 예들에서, 전도성 라인들은 실질적으로 직선이다.
일부 구현 예들에서, 전도성 라인들은 대략 0.01 내지 0.02 인치 범위의 수직 두께를 갖는다.
일부 구현 예들에서, 전도성 라인들은 대략 0.1 내지 0.5 인치 범위의 수평 폭을 갖는다.
일부 구현 예들에서, 전도성 라인들은 대략 0.5 내지 2 인치의 피치로 동일하게 이격되고, 전도성 라인들은 절연 재료 내에 임베딩된다.
일부 구현 예들에서, RF 안테나는 전력 공급될 때, 챔버의 프로세스 영역에서 플라즈마를 유도성으로 생성하도록 구성되고, 평면을 따라 배향된 동일하게 이격된 병렬 전도성 라인들의 어레이를 포함하고; RF 안테나가 전력 공급될 때, 국부적인 역전류를 형성하도록 쌍 각각의 인접한 전도성 라인들의 전류 흐름이 반대 방향들로 발생하도록 어레이의 인접한 전도성 라인들의 쌍 각각은 커넥터에 의해 직렬로 연결되고; 미리 결정된 커넥터에 대해, 미리 결정된 커넥터의 적어도 일부는 전도성 라인들이 배향되는 평면을 따라 배향되지 않는다.
일부 구현 예들에서, 커넥터에 의해 직렬로 연결되는 인접한 전도성 라인들의 쌍 각각은 RF 안테나의 제 1 측면을 따른 제 1 커넥터 세트, 및 제 1 측면과 반대되는 RF 안테나의 제 2 측면을 따른 제 2 커넥터 세트를 포함하는, 복수의 커넥터들을 규정하고, 제 1 세트의 커넥터들은 전도성 라인들의 직렬 연결을 가능하게 하도록 제 2 세트의 커넥터들과 엇갈리게 된다 (staggered).
일부 구현 예들에서, 제 1 커넥터들의 세트는 전도성 라인들이 배향되는 평면에 평행하지 않은 하나 이상의 제 2 평면들을 따라 실질적으로 배향되고; 제 2 커넥터들의 세트는 전도성 라인들이 배향되는 평면에 평행하지 않은 하나 이상의 제 3 평면들을 따라 실질적으로 배향된다.
일부 구현 예들에서, 하나 이상의 제 2 평면들은 각각 전도성 라인들이 배향되는 평면에 실질적으로 수직이고; 하나 이상의 제 3 평면들은 각각 전도성 라인들이 배향되는 평면에 실질적으로 수직이다.
일부 구현 예들에서, 커넥터 각각은 커브형 또는 반원 형상을 갖는다.
일부 구현 예들에서, RF 안테나는 RF 전력을 수신하도록 구성된 제 1 단부 및 접지에 연결되도록 구성된 제 2 단부를 포함한다.
일부 구현 예들에서, 미리 결정된 커넥터는 미리 결정된 커넥터에 의해 연결된 인접한 전도성 라인들 사이에서 전류 흐름을 인에이블함으로써 전류 흐름의 방향의 변화를 생성하도록 구성된다.
일부 구현 예들에서, RF 안테나는 전도성 라인들을 포함하는 단일 피스로서 형성된 통합 구조를 갖는다.
일부 구현 예들에서, 전도성 라인들은 챔버 내에 존재할 때 기판의 표면을 커버하는 영역을 점유하도록 구성된다.
일부 구현 예들에서, 전도성 라인들에 의해 점유된 영역은 실질적으로 원형 영역이다.
일부 구현 예들에서, 전도성 라인들은 실질적으로 직선이다.
일부 구현 예들에서, 전도성 라인들은 대략 0.01 내지 0.02 인치 범위의 수직 두께를 갖는다.
일부 구현 예들에서, 전도성 라인들은 대략 0.1 내지 0.5 인치 범위의 수평 폭을 갖는다.
일부 구현 예들에서, 전도성 라인들은 대략 0.5 내지 2 인치의 피치로 동일하게 이격된다.
일부 구현 예들에서, 전도성 라인들은 절연 재료 내에 임베딩된다.
일부 구현 예들에서, 플라즈마 챔버는 평면을 따라 배향된 복수의 동일하게 이격된 평행한 전도성 라인들을 갖는 RF 안테나로서, RF 안테나가 전력 공급될 때, 인접한 전도성 라인들의 전류 흐름은 반대 방향들로 발생하고, RF 안테나는 플라즈마가 생성되는 플라즈마 챔버의 프로세스 공간 위에 위치되는, RF 안테나; 프로세스 공간 내에 배치된 복수의 접지된 유도 라인 들을 포함하고, 접지된 유도 라인들 각각은 전도성 라인들 중 대응하는 전도성 라인 아래에 위치되고, 접지된 유도 라인들은 RF 안테나가 전력 공급될 때 유도 전력 공급되도록 구성되고, 접지된 유도 라인들은 유도성으로 전력 공급될 때 프로세스 공간 내에 플라즈마를 유도성으로 생성하도록 더 구성되는, 플라즈마 챔버가 제공된다.
일부 구현 예들에서, 접지된 유도 라인들은 서로 연결되어, 접지에 연결되는 원피스 (one-piece) 구조체를 형성한다.
일부 구현 예들에서, 플라즈마 챔버는 유전체 윈도우를 더 포함하고; RF 안테나는 유전체 윈도우 위에 위치되고; 접지된 유도 라인들은 유전체 윈도우 아래에 배치된다.
일부 구현 예들에서, RF 안테나는 2 개 이상의 개별 세그먼트들을 포함하고, 세그먼트 각각은 서로 직렬로 연결된 2 개 이상의 전도성 라인들을 포함한다.
일부 구현 예들에서, 세그먼트 각각은 RF 전력을 수용하도록 구성된 제 1 단부 및 접지에 연결되도록 구성된 제 2 단부를 포함한다.
일부 구현 예들에서, 미리 결정된 세그먼트의 인접한 전도성 라인들은 단부 커넥터에 의해 직렬로 서로 연결되고, 단부 커넥터는 미리 결정된 세그먼트의 인접한 전도성 라인들 사이에서 전류 흐름을 인에이블함으로써 전류 흐름의 방향의 변화를 생성하도록 구성된다.
일부 구현 예들에서, 미리 결정된 세그먼트는 단부 커넥터 및 미리 결정된 세그먼트의 인접한 전도성 라인들을 포함하는 단일 피스로서 형성된 통합 구조를 갖는다.
일부 구현 예들에서, 접지된 유도 라인들은 대략 0.2 내지 1 인치 범위의 두께를 갖는다.
일부 구현 예들에서, 접지된 유도 라인들은 대략 0.2 내지 1 인치 범위의 폭을 갖는다.
일부 구현 예들에서, 전력 공급될 때, 프로세스 챔버의 프로세스 영역에서 플라즈마를 유도성으로 생성하도록 구성된 RF 안테나가 제공되고, 서로 평행하고 제 1 수평 평면을 따라 동일 평면 상에 있는 제 1 세트의 전도성 라인들로서, 제 1 세트의 전도성 라인들은 프로세스 챔버의 유전체 윈도우 위에 배치되고, 제 1 수평 평면은 유전체 윈도우 위의 제 1 높이에 있는, 제 1 세트의 전도성 라인들; 서로 평행하고 제 2 수평 평면을 따라 동일 평면 상에 있는 제 2 세트의 전도성 라인들로서, 제 2 수평 평면은 제 1 수평 평면에 평행하고, 제 2 수평 평면은 제 1 높이보다 높은, 유전체 윈도우 위의 제 2 높이에 있고, 제 2 세트의 전도성 라인들의 전도성 라인 각각은 제 1 세트의 전도성 라인들의 각각의 전도성 라인 위에 그리고 실질적으로 평행하게 배치되는, 제 2 세트의 전도성 라인들을 포함한다.
일부 구현 예들에서, 제 1 세트의 전도성 라인들 및 제 2 세트의 전도성 라인들 각각은 프로세스 챔버 내에 존재할 때 웨이퍼에 의해 규정된 영역 위에서 실질적으로 직선이다.
일부 구현 예들에서, 제 1 세트의 전도성 라인들 및 제 2 세트의 전도성 라인들 각각에 대해, 제 1 세트의 미리 결정된 전도성 라인의 전류는 실질적으로 제 1 세트의 미리 결정된 전도성 라인 위에 있는 제 2 세트의 미리 결정된 전도성 라인의 전류와 실질적으로 동일한 방향으로 흐른다.
일부 구현 예들에서, 제 1 세트의 적어도 하나의 전도성 라인은 제 2 세트의 적어도 하나의 전도성 라인에 전기적으로 접속된다.
일부 구현 예들에서, 제 1 세트의 적어도 한 쌍의 인접한 전도성 라인들의 전류는 실질적으로 반대 방향들로 흐른다.
일부 구현 예들에서, 제 1 세트의 적어도 한 쌍의 인접한 전도성 라인들의 전류는 실질적으로 동일한 방향으로 흐른다.
일부 구현 예들에서, RF 안테나는 2 이상의 루프된 (looped) 구조체들에 의해 규정되고, 루프된 구조체 각각은 제 1 세트의 2 개의 인접한 전도성 라인들 및, 제 1 세트의 2 개의 인접한 전도성 라인들 위에 각각 배치된 제 2 세트의 2 개의 인접한 전도성 라인들을 포함한다.
일부 구현 예들에서, 루프된 구조체 각각은 단일의 연속적인 길이의 전도성 재료로 형성된다.
일부 구현 예들에서, 루프된 구조체 각각은 제 1 세트의 2 개의 인접한 전도성 라인들의 전류 흐름이 반대 방향들로 발생하고, 제 2 세트의 2 개의 인접한 전도성 라인들의 전류 흐름이 반대 방향들로 발생하도록 구성된다.
일부 구현 예들에서, 루프된 구조체 각각은 제 1 세트의 2 개의 인접한 전도성 라인들 각각에 대해, 제 1 세트의 2 개의 인접한 전도성 라인들 중 하나 위에 배치되는 제 2 세트의 2 개의 인접한 전도성 라인들 중 각각의 전도성 라인과 동일한 방향으로 전류 흐름이 발생하도록 구성된다.
일부 구현 예들에서, 루프된 구조체는 제 1 세트의 2 개의 인접한 전도성 라인들을 연결하는 제 1 커넥터, 제 2 세트의 2 개의 인접한 전도성 라인들을 연결하는 제 2 커넥터, 및 제 1 세트의 2 개의 인접한 전도성 라인들 중 하나를 제 1 세트의 2 개의 인접한 전도성 라인들 중 하나 위에 배치되지 않은 제 2 세트의 2 개의 인접한 전도성 라인들 중 하나에 연결하는 제 3 커넥터를 더 포함한다.
일부 구현 예들에서, 루프된 구조체들은 단일 RF 소스로부터 전력을 수신한다.
일부 구현 예들에서, 루프된 구조체들 각각은 별도의 RF 소스로부터 전력을 수신한다.
일부 구현 예들에서, 전력 공급될 때, 프로세스 챔버의 프로세스 영역에서 플라즈마를 유도성으로 생성하도록 구성된 RF 안테나가 제공되고, 이는 프로세스 챔버의 유전체 윈도우 위에 배치된 복수의 라인 쌍들을 포함하고, 라인 쌍 각각은 평행하고 수직으로 스택된 2 개의 수평으로 배향된 전도성 라인들을 포함하고; 복수의 라인 쌍들은 서로 평행하다.
일부 구현 예들에서, 미리 결정된 라인 쌍의 전도성 라인들의 전류 흐름은 동일한 방향으로 발생한다.
일부 구현 예들에서, 복수의 라인 쌍들의 전도성 라인들은 실질적으로 직선이다.
일부 구현 예들에서, 라인 쌍들의 전도성 라인들 중 하부 라인들은 유전체 윈도우 위의 제 1 높이에서 제 1 수평 평면을 따라 배치된다.
일부 구현 예들에서, 라인 쌍들의 전도성 라인들 중 상부 전도성 라인들은 제 1 높이보다 큰 유전체 윈도우 위의 제 2 높이에서 제 2 수평 플레인을 따라 배치된다.
본 명세서의 개시들의 다른 양태들 및 이점들은 예로서 본 개시들의 원리들을 예시하는, 첨부된 도면들과 함께 취해진 이하의 상세한 기술로부터 명백해질 것이다.
도 1a는 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 시스템 (100) 을 예시하는 단면 개략도이다.
도 1b는 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 시스템 (100) 을 예시하는 단면 개략도이다.
도 2는 본 개시의 구현 예들에 따른, 플라즈마 프로세싱을 위한 RF 안테나의 부감도 (overhead view) 이다.
도 3은 본 개시의 구현 예들에 따른, 프로세스 챔버의 일부의 개념적 단면도이다.
도 4a, 도 4b, 도 4c, 도 4d, 도 4e, 도 4f, 도 4g 및 도 4h는 본 개시의 구현 예들에 따른, 다양한 치수들 및 커버리지 구성들을 갖는 RF 안테나 (110) 의 다양한 예들을 예시한다.
도 5는 본 개시의 구현 예들에 따른, 전도성 재료의 단일의 연속적인 길이로서 규정된 RF 안테나 (110) 의 부감도를 예시한다.
도 6은 본 개시의 구현 예들에 따른, 2 개의 별개의 세그먼트들로 구성된 RF 안테나 (110) 의 부감도를 예시한다.
도 7은 본 개시의 구현 예들에 따른, 복수의 헤어핀-형상 세그먼트들을 갖는 RF 안테나 (110) 의 부감도를 예시한다.
도 8은 본 개시의 구현 예들에 따른, 복수의 세그먼트들을 갖는 RF 안테나 (110) 의 부감도를 예시한다.
도 9a는 본 개시의 구현 예들에 따른, 복수의 더블 리버스 헤어핀 세그먼트들을 갖는 RF 안테나 (110) 의 부감도를 예시한다.
도 9b는 본 개시의 구현 예들에 따른, 도 9a의 구현 예의 단면도이다.
도 10a는 본 개시의 구현 예들에 따른 RF 안테나 (110) 의 개념적 단면도를 예시한다.
도 10b는 도 10a의 구현 예에 따른 개념적 단면도를 예시한다.
도 11a는 본 개시의 구현 예들에 따른, 상승된 단부 루프들을 갖는 RF 안테나 (110) 의 사시도를 예시한다.
도 11b는 도 11a의 구현 예에 따른 RF 안테나 (110) 를 포함하는 프로세스 챔버 (102) 의 절단도를 예시한다.
도 11c는 도 11b의 구현 예에 따른 프로세스 챔버 (102) 의 사시도를 예시한다.
도 11d는 도 11b의 구현 예에 따른 프로세스 챔버 (102) 의 부감도를 예시한다.
도 12a는 본 개시의 구현 예들에 따른, 프로세스 챔버 (102) 의 절단도를 예시한다.
도 12b는 도 12a의 구현 예에 따른, RF 안테나 (110) 를 포함하는 컴포넌트들의 스택을 도시하는 사시도를 예시한다.
도 12c는 본 개시의 구현 예들에 따른, 프로세스 챔버 (102) 의 부감도를 예시한다.
도 12d는 도 12c의 구현 예에 따른, 프로세스 챔버 (102) 의 외측 부분의 단면도를 예시한다.
도 12e는 도 12c의 구현 예에 따른, 프로세스 챔버 (102) 의 일부의 단면도를 예시한다.
도 12f는 도 12c의 구현 예에 따른, 프로세스 챔버 (102) 의 일부의 단면도를 예시한다.
도 13a는 본 개시의 구현 예들에 따른 RF 안테나 (110) 를 예시한다.
도 13b는 본 개시의 구현 예들에 따른 RF 안테나 (110) 를 예시한다.
도 14a는 본 개시의 구현 예들에 따른, RF 전력을 챔버 내로 커플링하기 위해 접지된 역전류 인덕터 위에 배치된 RF 안테나의 부감도를 예시한다.
도 14b는 본 개시의 구현 예들에 따른, 접지된 인덕터 (1400) 의 단면도를 예시한다.
도 14c는 도 14a의 구현 예에 따른, 플라즈마 프로세싱 시스템의 구조체들에서 전류들의 상대적인 위상들을 예시하는 그래프이다.
도 14d는 도 14a의 구현 예에 따른, 접지된 인덕터 (1400) 의 접지된 인덕터 라인 (1402) 의 단면도를 예시한다.
도 15a 및 도 15b는 본 개시의 구현 예들에 따른, 접지된 인덕터 (1500) 의 부감도 및 단면도를 각각 예시한다.
도 16은 본 개시의 구현 예들에 따른, 단일 헤어핀 역전류 인덕터 세그먼트를 예시한다.
도 17은 본 개시의 구현 예들에 따른, 90° 라인-대-라인 위상 공간-교번 플라즈마-스킨 유도 전류들의 효과를 예시한다.
도 18a 및 도 18b는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 19a 및 도 19b는 본 개시의 구현 예들에 따른, RF 안테나를 예시한다.
도 20a, 도 20b 및 도 20c는 본 개시의 구현 예들에 따른, RF 안테나에 대한 헤어핀 세그먼트 및 대응하는 실험 결과들을 예시한다.
도 21a 및 도 21b는 본 개시의 구현 예들에 따른, RF 안테나에 대한 헤어핀 세그먼트 및 대응하는 실험 결과들을 예시한다.
도 22a 및 도 22b는 본 개시의 구현 예들에 따른, RF 안테나에 대한 헤어핀 세그먼트 및 대응하는 실험 결과들을 예시한다.
도 23a, 도 23b 및 도 23c는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 24a, 도 24b 및 도 24c는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 25a, 도 25b 및 도 25c는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 26a, 도 26b 및 도 26c는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 27a, 도 27b 및 도 27c는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 28a, 도 28b 및 도 28c는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 29a, 도 29b 및 도 29c는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 30a 및 도 30b는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 31a, 도 31b 및 도 31c는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 32a, 도 32b 및 도 32c는 본 개시의 구현 예들에 따른, RF 안테나 및 대응하는 실험 결과들을 예시한다.
도 33은 본 개시의 구현 예들에 따른, RF 안테나를 예시한다.
도 34는 본 개시의 구현 예들에 따른, RF 안테나를 예시한다.
도 35a는 본 개시의 구현 예들에 따른, RF 안테나 (3502) 의 구성을 도시하는 프로세스 챔버 (3500) 내로의 부감도를 예시한다.
도 35b는 본 개시의 구현 예들에 따른, 프로세스 챔버 (3500) 의 절단 사시도를 예시한다.
도 35c는 본 개시의 구현 예들에 따른, RF 안테나 (3502) 의 전도성 라인들에 수직인 평면을 따른 프로세스 챔버 (3500) 의 절단도를 예시한다.
도 36a는 본 개시의 구현 예들에 따른, RF 안테나 (3600) 의 구성을 도시하는 프로세스 챔버 (3500) 내로의 부감도를 예시한다.
도 36b는 본 개시의 구현 예들에 따른, RF 안테나 (3600) 를 포함하는 프로세스 챔버 (3500) 의 절단 사시도를 예시한다.
도 36c는 본 개시의 구현 예들에 따른, RF 안테나 (3600) 의 전도성 라인들에 수직인 평면을 따른 프로세스 챔버 (3500) 의 절단도를 예시한다.
도 36d는 본 개시의 구현 예들에 따른, 유전체 윈도우 (3610) 및 RF 안테나 (3600) 의 일부의 단면도를 개념적으로 예시한다.
도 37a, 도 37b, 도 37c 및 도 37d는 본 개시의 구현 예들에 따른, RF 안테나에 전력을 공급하고 종료하는 다양한 구성들을 개략적으로 예시한다.
도 38a는 연속적인 구부러진 시트/스트립으로서 형성된, RF 안테나 (3600) 의 절반들/측면들 중 하나와 같은 RF 안테나의 일부를 예시한다.
도 38b는 본 개시의 구현 예들에 따른, 연속적인 구부러진 튜브로서 형성된 RF 안테나의 일부를 예시한다.
도 38c는 본 개시의 구현 예들에 따른, 복수의 직선 튜브형 피팅들 및 복수의 커브된 튜브형 피팅들과 같은 복수의 튜브형 피팅들로부터 형성된 RF 안테나의 일부를 예시한다.
도 39a, 도 39b 및 도 39c는 본 개시의 구현 예들에 따른, 측면들로부터 전력 공급되는 RF 안테나의 부감도, 절단 사시도, 및 측면 단면도를 예시한다.
도 40은 본 개시의 구현 예들에 따른, 커브된 외측 전도성 라인들을 갖는 RF 안테나의 부감도를 개념적으로 예시한다.
도 41은 본 개시의 구현 예들에 따른, 커브된 내측 전도성 라인 및 외측 전도성 라인을 갖는 RF 안테나의 부감도를 개념적으로 예시한다.
도 42는 본 개시의 구현 예들에 따른, 조정 가능한 라인 간격을 갖는 RF 안테나의 부감도를 개념적으로 예시한다.
도 43은 본 개시의 구현 예들을 구현하기 위한 컴퓨터 시스템의 간략화된 개략도이다.
이하의 기술에서, 예시적인 구현 예들의 완전한 이해를 제공하기 위해 다수의 특정한 상세들이 제시된다. 그러나, 예시적인 구현 예들이 이들 특정한 상세들 중 일부없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 프로세스 동작들 및 구현 예 상세들은 이미 공지되었다면, 상세히 기술되지 않았다.
본 명세서에 사용된 바와 같이, 용어 "약 (about)" 및 "대략 (approximately)"은 명시된 파라미터가 적당한 허용 오차, 예를 들어, 일부 구현 예들에서 ± 10 %, 일부 구현 예들에서 ± 15 %, 또는 일부 구현 예들에서 ± 20 % 내에서 가변할 수 있다는 것을 의미한다.
본 개시의 일부 구현 예들은 전력 공급될 때, 플라즈마 생성을 위해 국부화된 자기장들을 생성하는 역전류 인덕터 (counter current inductor) 를 규정하도록 구성되는 무선 주파수 (radio frequency; RF) 안테나를 제공한다. 본 개시의 RF 안테나는 작은 체적 프로세스 공간에서 고 분포 균일도를 갖는 고밀도 플라즈마 (예를 들어, 일부 구현 예들에서 1x1011/㎤ 이상) 의 생성을 인에이블하여, 고밀도 플라즈마 시스템에서 ALD/ALE를 인에이블한다. 현재, ALD/ALE는 큰 프로세스 공간 및 프로세스 공간으로 가스들을 펌핑하고 프로세스 공간으로부터 가스들을 퍼지하는데 필요한 과도한 시간량으로 인해, 고밀도 플라즈마 시스템들에서 수행되지 않고, 이는 쓰루풋의 목적들을 위해 금지된다.
그러나, 본 개시의 구현 예들은 작은 프로세스 공간 (예를 들어, 일부 구현 예들에서 대략 3 인치 (대략 7.5 ㎝) 이하의 수직 갭, 일부 구현 예들에서 대략 1 인치 (대략 2.5 ㎝) 이하의 수직 갭) 에서 국부화된 필드들 및 플라즈마 생성을 인에이블함으로써 이들 과제들을 극복한다. 이러한 작은 프로세스 공간을 사용하여, 챔버 내에서 프로세스 가스들의 낮은 체류 시간을 달성하는 것이 가능하고, 챔버 내외로 가스들을 이동시키기 위해 필요한 시간이 감소됨에 따라 ALD/ALE 사이클 시간의 감소를 인에이블한다.
일반적으로 말하면, 본 개시의 구현 예들은 평면을 따라 배향된 동일하게 이격된 병렬 전도성 라인들의 어레이를 포함하는 RF 안테나를 제공한다. RF 안테나에 전력 공급될 때, 인접한 전도성 라인들의 전류 흐름이 반대 방향들로 발생하여, 챔버의 프로세스 공간/영역에서 플라즈마를 유도성으로 생성하는 역전류 유도 어레이를 형성한다. 역전류 유도 어레이는 전도성 라인들 각각에 의해 생성된 자기장들이 각각 발생하는 (originating) 전도성 라인들에 국부화되도록 한다.
일부 구현 예들에서, 전도성 라인들의 부분들은 이하에 더 기술된 바와 같이, 인접한 전도성 라인들 사이에서 전류 흐름의 방향의 변화를 인에이블하도록 직렬로 연결된다.
도 1a는 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 시스템 (100) 을 예시하는 단면 개략도이다. 플라즈마 프로세싱 시스템 (100) 은 플라즈마 프로세싱을 위해 기판을 수용하도록 구성된 프로세스 챔버 (102) 를 포함한다. 프로세스 챔버 (102) 의 컴포넌트는 기판 프로세싱을 위해 플라즈마가 생성되는 공간 또는 볼륨인 프로세스 영역 (104) 을 둘러싸고 규정한다. 페데스탈 (106) 은 프로세스 영역이 프로세싱 동안 기판 위에 규정되도록 기판 (예를 들어, 웨이퍼) 을 지지하도록 구성된다.
RF 안테나 (110) 는 예시된 구현 예에서 단면으로 도시된 전도성 라인들의 어레이를 포함한다. RF 안테나 (110) 는 매칭부 (116) 를 통해 RF 소스 (118) 에 의해 전력 공급되고, 또한 접지에 연결된다. 일부 구현 예들에서, 이하에 더 기술된 바와 같이, RF 안테나 (110) 는 몇몇 개별 세그먼트들로 구성되고, 세그먼트 각각은 (개별 또는 공유된 전력 소스(들)에 의해) 전력 공급되고 접지에 연결된다.
일부 구현 예들에서, RF 안테나 (110) 는 상단 절연체 (112) 와 하단 절연체 (114) 사이에 배치된다. 프로세스 영역 (104) 의 내부 측벽들을 규정하는 측면 절연체 (108) 가 또한 제공된다.
프로세스 가스들은, 예를 들어 ALD 프로세스의 전반 반응을 위해 제 1 반응 물질을 공급하기 위한 제 1 반응 물질 가스 소스, ALD 프로세스의 후반 반응을 위해 제 2 반응 물질을 공급하기 위한 제 2 반응 물질 가스 소스, 및 프로세스 챔버 (102) 의 프로세스 영역 (104) 을 퍼지하기 위한 불활성 가스를 공급하기 위한 불활성 가스 소스를 포함하는, 복수의 가스 소스들 (120) 로부터 제공된다. 가스 스위칭 모듈 (122) 은 가스 소스들 (120) 로부터 프로세스 챔버 (102) 로의 프로세스 가스들의 전달을 관리하도록 구성된다. 가스 스위칭 모듈 (122) 은 프로세스 가스들의 전달을 제어하기 위해 복수의 제어 가능한 밸브들 및/또는 플로우 제어기들을 포함할 수도 있다.
프로세스 가스들은 가스 플레넘 (124) 으로 전달된다. 가스 플레넘 (124) 으로부터, 일부 구현 예들에서, 프로세스 가스들은 복수의 주입기들 (126) 을 통해 프로세스 영역 (104) 내로 라우팅된다. 주입기들 (126) 은 상단 절연체 및 하단 절연체를 통해 쓰루 홀들을 규정할 수 있고, 주입기들 (126) 은 프로세스 가스들이 프로세스 영역 (104) 전반에 걸쳐 동시에 도입되고 고르게 분포될 수 있도록 프로세스 영역 (104) 위에 수평으로 분포될 수 있다.
복수의 주입기들이 예시된 구현 예에서 도시되지만, 다른 구현 예들에서, 다른 하드웨어 구성들이 프로세스 영역 (104) 에 프로세스 가스들을 전달하도록 채용될 수 있다. 일부 구현 예들에서, 프로세스 영역 (104) 내로 프로세스 가스들을 전달하기 위해 중심 주입기 및/또는 측면 주입기들이 제공된다.
가스들 (예를 들어, 프로세스 가스들, 불활성 가스, 반응 부산물들, 등) 은 진공 펌프 (132) 에 의해 배플 (130) 을 통해 프로세스 영역 (104) 으로부터 배기된다. 프로세스 영역 (104) 은 진공 펌프 (132) 에 의해 진공 하에 유지될 수 있다는 것이 이해될 것이다.
프로세스 챔버 (102) 는 접지된 외측 측벽들 (134) 을 포함한다.
모두 구체적으로 도시되지는 않지만, 프로세스 챔버 (102) 는 통상적으로 클린 룸 또는 제조 설비에 설치될 때 설비들에 커플링된다. 설비들은 다른 것들 중에서도, 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 프로세스 챔버 (102) 에 커플링된다. 부가적으로, 프로세스 챔버 (102) 는 로봇 공학들로 하여금 자동화를 사용하여 프로세스 챔버 (102) 내외로 기판들 (예를 들어, 반도체 웨이퍼들) 을 이송할 수 있게 하는 이송 챔버에 커플링될 수도 있다.
프로그래밍 가능한 제어기 (140) 는 제한없이 예로서, RF 소스 (118) 및 매칭부 (116), 가스 스위칭 모듈 (122), 및 진공 펌프 (132) 를 포함하는 프로세스 챔버 (102) 및 연관된 컴포넌트들의 동작을 제어하기 위해 제공된다. 일반적으로 말하면, 제어기 (108) 는 레시피에 의해 규정된 챔버 동작을 실행하도록 프로그래밍될 수 있다. 미리 결정된 레시피는 RF 안테나로의 전력 인가, 챔버 내로의 가스 플로우, 및 진공의 인가와 같은 동작을 위한 다양한 파라미터들을 명시할 수도 있다. 타이밍, 지속 기간, 크기, 또는 임의의 다른 조정 가능한 파라미터 또는 제어 가능한 피처는 레시피에 의해 규정될 수 있고 프로세스 챔버 (102) 및 연관된 컴포넌트들의 동작을 제어하기 위해 제어기에 의해 수행될 수 있다는 것이 이해되어야 한다. 부가적으로, 일련의 레시피들이 제어기 (140) 내로 프로그래밍될 수도 있다. 일 구현 예에서, 레시피는 ALD 동작들을 프로세싱하도록 구성된다.
도 1b는 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 시스템 (100) 을 예시하는 단면 개략도이다. 도 1b의 구현 예는 주로 RF 안테나 (110) 가 절연체 내로 통합되거나 절연체들 사이에 개재되는 대신 유전체 윈도우 (150) (예를 들어, 석영과 같은 세라믹) 위에 배치된다는 점에서 도 1a의 구현 예와 상이하다. 일부 구현 예들에서, 유전체 윈도우 (150) 는 약 1/2 내지 1 인치 (예를 들어, 약 1 내지 3 ㎝) 범위의 두께를 갖는다.
도 2는 본 개시의 구현 예들에 따른, 플라즈마 프로세싱을 위한 RF 안테나의 부감도 (overhead view) 이다. 도시된 바와 같이, RF 안테나 (110) 는 루프된 단부들에 의해 직렬로 연결된 일련의 평행한 동일하게 이격된 전도성 라인들로 구성된 사형 (serpentine) 형상을 갖는다. 즉, RF 안테나는 프로세스 영역에 걸쳐 앞뒤로 경로를 추적하는 연속적인 라인에 의해 규정된다. 예시된 구현 예에서, RF 안테나 (110) 는 일 단부에서 매칭부 (116) 를 통해 RF 소스 (118) 에 의해 전력 공급되고, RF 안테나 (110) 의 다른 단부는 접지에 연결된다.
또한 기판 (200) 이 부감도로 도시된다. RF 안테나 (110) 는 기판 (200) 을 둘러싸는 원형 영역을 커버하고, 기판 (200) 의 전체 노출된 영역에 걸쳐 실질적으로 챔버의 프로세스 영역 내에 플라즈마를 유도성으로 생성하도록 예시된 구현 예에서 형성된다.
일부 구현 예들에서, RF 안테나 (110) 의 두께 (수직 치수) 는 대략 0.01 내지 0.02 인치 (대략 0.02 내지 0.05 ㎝) 의 범위이다. 일부 구현 예들에서, RF 안테나 (110) 는 챔버의 측벽들로부터 최소 거리, 예를 들어 일부 구현 예들에서 대략 0.5 인치 (1.3 ㎝) 에 있다. 일부 구현 예들에서, 웨이퍼의 에지로부터 RF 안테나 (110) 의 미리 결정된 루프의 턴어라운드까지의 최소 수평 거리, 예를 들어, 일부 구현 예들에서 대략 0.5 인치 (1.3 ㎝) 가 있다.
도 3은 본 개시의 구현 예들에 따른, 프로세스 챔버의 일부의 개념적 단면도이다. 도시된 바와 같이, RF 안테나 (110) 는 상단 절연체 (112) 와 하단 절연체 (114) 사이에 개재된다. 측면 절연체들 (108a 및 108b) 은 챔버로 하여금 진공 하에서 동작되게 하는 시일을 형성하게 하도록 상단 절연체 및 하단 절연체의 주변부에 연결된다. 상단 절연체 및 하단 절연체는 세라믹 (예를 들어, 석영) 또는 다른 절연 재료들로 형성될 수 있다.
작은 수직 갭에서 높은 균일도를 갖는 유도 결합 플라즈마를 달성하기 위해, 국부적인 자기장 H (따라서, E) 를 갖는 것이 중요하다. 본 개시의 구현 예들은 반대 방향으로 진행하는 임의의 2 개의 인접한 라인들에서 전류들을 가짐으로써 국부적인 H를 생성함으로써 이를 달성한다. 자기장의 국부화 및 궁극적으로 플라즈마 생성의 특성들에 영향을 주는 파라미터들은 다음을 포함한다: (1) 라인-라인 간격 (피치), s, (2) 라인-플라즈마 거리, d, (3) 인덕터 라인 폭, w.
일부 구현 예들에서, 피치 s는 약 0.5 내지 2 인치 (약 1 내지 5 ㎝) 의 범위이다. 일부 구현 예들에서, s는 대략 1 인치 (2.5 ㎝) 정도의 수직 작은 갭에 대해 대략 1 인치 (2.5 ㎝) 이다. 일부 구현 예들에서, s는 작은 수직 갭 (약 1 인치) 기하 구조의 국부적인 전자 밀도 (Ne) 균일도를 더 개선하기 위해 대략 1.3 ㎝ (half inch) 로 더 감소될 수 있다.
플라즈마-스킨 유도 전류를 최대화하기 위해, d는 유도된 필드들을 최대화하도록 물리적으로 그리고 전기적으로 가능한만큼 작아야 한다. 비 s/d에는 제한이 없다. 일부 구현 예들에서, 실질적인 전력-커플링을 위해 s/d> 2의 비가 일반적으로 고려되고, 실제로, 이러한 기하학적 구조가 물리적으로 그리고 전기적으로 가능할 수 있는 한 최대 비 s/d는 전력-커플링을 최대화한다.
수직 작은 갭/s의 비에는 제한이 없다. 일부 구현 예들에서, 작은 갭/s > 1가 일반적으로 실질적인 국소 Ne 균일도로 고려된다.
라인들 사이의 간격 (피치) 이 윈도우의 두께보다 훨씬 작아지면 플라즈마 내로 침투하는 필드는 무시할 수 있을 것이라는 것이 인식된다. 또한, d가 너무 작으면, 전도성 라인들은 패러데이 차폐부에 가까울 수도 있고, 이는 높은 스트레이 커패시턴스를 발생시킨다.
부가적으로, 전도성 라인들은 전류를 전달하기에 충분한 폭 및/또는 높이를 가져야 하고, 또는 용융 위험에 있을 수도 있다는 것이 인식된다.
도 3을 계속 참조하면, k는 에너지 플로우의 방향을 나타내는 파동 벡터이다. 파동 벡터 k는 E와 H의 외적, 예를 들어 제곱미터 당 와트의 단위와 같다.
알 수 있는 바와 같이, RF 안테나 (110) 는 다른 안테나들이 할 수 없는 방식으로 프로세스 영역을 커버한다. 보다 구체적으로, RF 안테나 (110) 는 안테나 라인들의 간격에 따른 입도를 갖는 전체 영역에 걸쳐 매우 균일한 밀도의 플럭스 라인들을 제공한다. 라인-라인 간격은 라인-플라즈마 거리에 대해 최적화될 수 있고, 이는 결국 하단 절연체 또는 유전체 윈도우의 두께, 유전체 윈도우로부터 임의의 거리, 스킨 깊이, 등에 의해 부분적으로 결정될 수도 있다. RF 안테나 (110) 는 프로세스 영역 면적 전체에 걸쳐 전류들을 구동하기 위해 균일한 자기 플럭스 소스를 제공하고 따라서 균일한 플라즈마 생성을 제공한다.
기존의 TCP 코일들은 보다 큰 볼륨에 걸쳐 그리고 상대적으로보다 낮은 압력에서 플라즈마를 생성하고 확산시키도록 설계되기 때문에 작은 수직 갭에서 균일도를 달성하지 못한다. 이러한 코일들은 수반되는 확률적 효과들과 함께, 큰 원형 패턴으로 플라즈마 챔버 전체에 걸쳐 전류들을 유도하는, 전역 안테나이도록 설계된다. 따라서, 이러한 기존의 챔버들은 낮은 체류 시간의 특성을 갖지 않는다. 종래의 TCP 코일을 사용하여, 윈도우에 매우 가깝게 높은 균일도를 달성하는 것은 불가능하다. 따라서, 챔버의 수직 갭이 체류 시간을 감소시키려는 시도에서 단순히 감소된다면, 이는 웨이퍼 표면에 가깝게 불균일도를 가져올 것이다.
그러나, 본 개시의 구현 예들에 따라 제공된 RF 안테나를 사용하여, 기존의 코일들에서 보이는 전체적인 전류 순환 및 확률적 효과들이 없다. 그러나 오히려, 효과들은 국부화되어, 윈도우에 매우 가까운 좁은 수직 갭에서 플라즈마의 높은 균일도를 가능하게 한다.
도 4a, 도 4b, 도 4c, 도 4d, 도 4e, 도 4f, 도 4g 및 도 4h는 본 개시의 구현 예들에 따른, 다양한 치수들 및 커버리지 구성들을 갖는 RF 안테나 (110) 의 다양한 예들을 예시한다.
도 4a 내지 도 4d의 구현 예들은 기판의 전체 수평 영역에 걸쳐 커버리지를 제공하도록, 실질적으로 원형 커버리지 영역을 갖는 RF 안테나 (110) 를 예시한다. 도 4a의 구현 예에서, RF 안테나 (110) 는 대략 0.25 인치의 폭 및 라인 당 대략 1 인치의 피치를 갖는다. 도 4b의 구현 예에서, RF 안테나 (110) 는 대략 0.38 인치의 폭 및 라인 당 대략 1 인치의 피치를 갖는다. 도 4c의 구현 예에서, RF 안테나 (110) 는 대략 0.50 인치의 폭 및 라인 당 대략 1 인치의 피치를 갖는다. 도 4d의 구현 예에서, RF 안테나 (110) 는 대략 0.5 인치의 폭 및 라인 당 대략 1.5 인치의 피치를 갖는다.
도 4e 내지 도 4h의 구현 예들은 실질적으로 직사각형 커버리지 영역을 갖는 RF 안테나 (110) 를 예시한다. 도 4e의 구현 예에서, RF 안테나 (110) 는 대략 0.25 인치의 폭 및 라인 당 대략 1 인치의 피치를 갖는다. 도 4f의 구현 예에서, RF 안테나 (110) 는 대략 0.38 인치의 폭 및 라인 당 대략 1 인치의 피치를 갖는다. 도 4g의 구현 예에서, RF 안테나 (110) 는 대략 0.50 인치의 폭 및 라인 당 대략 1 인치의 피치를 갖는다. 도 4i의 구현 예에서, RF 안테나 (110) 는 대략 0.25 인치의 폭 및 라인 당 대략 1.5 인치의 피치를 갖는다. RF 안테나 (110) 의 파라미터들, 예컨대 폭, 피치, 및 감김들 (turns) 은 동작시 플라즈마의 목표된 밀도 및 균일도를 제공하도록 최적화될 수 있다는 것이 인식될 것이다. 플라즈마에서 유도된 전류들은 RF 안테나 (110) 를 따라 앞뒤로 가는 전류들을 반영한다.
도 5는 본 개시의 구현 예들에 따른, 전도성 재료의 단일의 연속적인 길이로서 규정된 RF 안테나 (110) 의 부감도를 예시한다. 일반적으로 말하면, RF 안테나 (110) 는 예시된 구현 예에 나타낸 바와 같이, 전도성 라인들 (504a, 504b, 504c, 및 504d) 과 같은 평행하고 동일하게 이격된 전도성 라인들의 어레이에 의해 규정된다. 전도성 라인들은 RF 안테나 (110) 의 실질적으로 직선 부분이고, RF 안테나 (110) 에 전력이 공급될 때, 전도성 라인들 중 인접한 라인들의 전류는 반대 방향들로 흐르고, 국부적인 역전류를 형성한다. 예를 들어, 전력 공급될 때, 전도성 라인 (504c) 의 전류는 인접한 전도성 라인 (504d) 의 전류와 반대 방향으로 흐를 것이다. 이 역전류 설정을 달성하기 위해, 전도성 라인들은 서로 직렬로 연결된다. 예를 들어, 전도성 라인들 (504a, 504b, 504c, 및 504d) 은 직렬로 연결된다. 전도성 라인 (504a) 은 커넥터 (506a) 에 의해 전도성 라인 (504b) 에 연결되고; 전도성 라인 (504b) 은 커넥터 (506b) 에 의해 전도성 라인 (504c) 에 연결되고; 전도성 라인 (504c) 은 커넥터 (506c) 에 의해 전도성 라인 (504d) 에 연결된다.
예시된 구현 예에서, 커넥터들은 커브된 형상 또는 반원 형상으로 도시된다. 그러나, 다른 구현 예들에서 커넥터들은 다른 형상들을 가질 수 있다. 일부 구현 예들에서, 커넥터 각각은 세그먼트를 연결하는 단일의 직선에 의해 규정된다. 일부 구현 예들에서, 커넥터 각각은 세그먼트들을 연결하는 2 이상의 직선에 의해 규정된다. 일부 구현 예들에서, RF 안테나 (110) 는 RF 안테나 (110) 의 상이한 부분들에서 상이한 형상의 커넥터들을 가질 수도 있다.
RF 안테나 (110) 는 전력 공급되는 제 1 단부 (500), 및 접지에 연결된 제 2 단부 (502) 를 갖는다. 도시된 바와 같이, RF 안테나 (110) 는 챔버의 일 측면으로부터 반대 측면으로 제 1 축에 수직인 제 2 축을 횡단하면서 제 1 축을 따라 그리고 평행하게 앞뒤로 흐르도록 구성된 전류 경로를 규정하는 사형 형상을 갖는다. 따라서 RF 안테나 (110) 는 국부적인 플라즈마 유도를 생성하는 역전류 인덕터를 규정한다.
예시된 구현 예에서, RF 안테나 (110) 는 단일의 연속적인 길이를 갖는다. 단일의 연속적인 길이를 갖는 이러한 구현 예들에서, 길이가 시스템이 동작하는 주파수에 대해 너무 길다면, 전체 길이가 충분히 동위상 (in phase) 이 아닐 수도 있고, 인덕턴스가 너무 높을 수도 있기 때문에 전송선 효과들이 있을 수도 있다. 예를 들어, 13.56 ㎒의 주파수 및 약 3 내지 10 피트의 RF 안테나 (110) 길이에서, 전체 길이는 동위상인 것으로 간주될 수 있다. 그러나, 길이가 상당히 길다면, 전송선 효과가 있을 수도 있다. 이러한 문제들을 해결하기 위한 일 가능성은 빈도를 낮추는 것이다. 그러나, 또 다른 방식은 전도성 길이를 상이한 세그먼트들로 나누는 (break down) 것이고, 이는 인덕턴스를 낮춘다.
계속해서 도 5를 참조하면, 일부 구현 예들에서, 전도성 라인들 각각은 대략 17 인치 (대략 43 ㎝); 일부 구현 예들에서, 대략 15 내지 20 인치 (대략 38 내지 51 ㎝) 의 세그먼트 길이를 갖는다. 일부 구현 예들에서, 라인-대-라인 간격은 대략 1 인치 (대략 2.5 ㎝); 일부 구현 예들에서, 대략 0.5 내지 1.5 인치 (대략 1.3 내지 3.8 ㎝) 이다. 일부 구현 예들에서, 라인 폭은 대략 0.25 인치 (대략 0.6 ㎝) 이고; 일부 구현 예들에서, 대략 0.1 내지 0.5 인치 (대략 0.2 내지 1.3 ㎝) 이다.
도 6은 본 개시의 구현 예들에 따른, 2 개의 별개의 세그먼트들로 구성된 RF 안테나 (110) 의 부감도를 예시한다. 도시된 바와 같이, RF 안테나 (110) 는 세그먼트 (600) 및 세그먼트 (606) 를 갖는다. RF 안테나 (110) 는 여전히 평행하고 균등하게 이격된 전도성 라인들의 어레이로 구성된다. 그러나, 전도성 라인들은 2 개의 세그먼트들로 분할되고, 세그먼트 (600) 는 직렬로 연결된 전도성 라인들의 절반을 포함하고, 세그먼트 (606) 는 직렬로 연결된 전도성 라인들의 다른 절반을 포함한다. 세그먼트 (600) 는 제 1 단부 (602) 에서 전력 공급되고 제 2 단부 (604) 에서 접지된다. 세그먼트 (606) 는 제 1 단부 (608) 에서 전력 공급되고 제 2 단부 (610) 에서 접지된다.
일부 구현 예들에서, 전력은 단일 전력 소스로부터 세그먼트들 (600 및 606) 의 제 1 단부들 (602 및 608) 각각으로 분할된다. 다른 구현 예들에서, 전력은 분리된 전력 소스들로부터 제 1 단부들 각각으로 제공된다. 어느 경우든, RF 안테나 (110) 가 전력 공급될 때, RF 안테나 (110) 의 인접한 전도성 라인들의 쌍 각각은 반대 방향들로 전류 흐름을 나타내어, RF 안테나 (110) 는 역전류 인덕터로서 기능한다.
일부 구현 예들에서, 도 6에 따른 RF 안테나 (110) 는 도 5의 구현 예를 참조하여 기술된 치수들과 유사한 치수들을 갖는다.
도 7은 본 개시의 구현 예들에 따른, 복수의 헤어핀-형상 세그먼트들을 갖는 RF 안테나 (110) 의 부감도를 예시한다. 예시된 구현 예에서, RF 안테나 (110) 는 세그먼트들 (700, 702, 704, 706, 708, 710, 712, 714, 및 716) 로 구성된다. 세그먼트 각각은 일 측면 상의 커넥터에 의해 연결된 2 개의 인접하고 평행한 전도성 라인들로 구성된 헤어핀-형상을 갖는다. 예를 들어, 세그먼트 (700) 는 커넥터 (722) 에 의해 일 측면 상에 연결된 전도성 라인들 (718 및 720) 을 포함한다. 인접한 세그먼트 (702) 는 커넥터 (732) 에 의해 반대편 측면에 연결된 전도성 라인들 (728 및 730) 을 포함한다.
세그먼트 (700) 는 제 1 단부 (724) 에서 전력 공급되고, 접지에 연결된 제 2 단부 (726) 를 갖는다. 세그먼트 (702) 는 제 1 단부 (734) 에서 전력 공급되고, 접지에 연결된 제 2 단부 (736) 를 갖는다. 나머지 세그먼트들은 유사하게 전력 공급되고 접지되도록 구성된다. 일부 구현 예들에서, 세그먼트들은 전력 소스로부터 균일하게 또는 조정 가능하게 분할되는 RF 전력을 각각 수신할 수도 있다. RF 안테나 (110) 에 전력이 공급될 때, 인접한 라인들이 반대 방향들의 전류 흐름을 나타내어, RF 안테나 (110) 가 역전류 인덕터로서 기능할 수 있도록, 세그먼트들이 도시된 바와 같이 배치된다는 것이 이해될 것이다.
일부 구현 예들에서, RF 안테나 (110) 는 13.56 ㎒의 주파수 및 총 전력 W로 전력 공급된다. 일부 구현 예들에서, 세그먼트 각각에 대한 전력은 W를 RF 안테나 (110) 의 세그먼트들의 수로 나눈 것과 같다.
도 8은 본 개시의 구현 예들에 따른, 복수의 세그먼트들을 갖는 RF 안테나 (110) 의 부감도를 예시한다. 예시된 구현 예에서, 세그먼트 각각은 직렬로 연결된 3 개의 전도성 라인들을 포함한다. 도시된 바와 같이 RF 안테나 (110) 는 세그먼트들 (800, 802, 804, 806, 808, 및 810) 을 포함한다. 예로서, 세그먼트 (800) 는 도시된 바와 같이 커넥터들 (818 및 820) 에 의해 직렬로 연결된 전도성 라인들 (812, 814, 및 816) 을 포함한다. 유사하게, 세그먼트 (802) 는 커넥터들 (832 및 834) 에 의해 직렬로 연결된 전도성 라인들 (826, 828, 및 830) 을 포함한다. 나머지 세그먼트들은 유사하게 구성된다. 따라서 세그먼트 각각은 세그먼트 각각에 대해 2 번의 180° 감김을 갖는 더블 리버스 헤어핀-형상 (double reverse hairpin shape) 을 나타낸다.
세그먼트 (800) 는 제 1 단부 (822) 에서 전력을 수신하고, 제 2 단부 (824) 는 접지에 연결된다. 유사하게, 세그먼트 (802) 는 제 1 단부 (836) 에서 전력을 수신하고, 제 2 단부 (838) 는 접지에 연결된다. 다른 세그먼트들은 유사하게 구성된다. 일부 구현 예들에서, RF 전력은 전력 소스로부터 RF 안테나 (110) 의 세그먼트들로 균일하게 또는 조정 가능하게 분할될 수 있다. RF 안테나 (110) 에 전력이 공급될 때, 인접한 라인들이 반대 방향들의 전류 흐름을 나타내어, RF 안테나 (110) 가 역전류 인덕터로서 기능할 수 있도록, 세그먼트들이 도시된 바와 같이 배치된다는 것이 이해될 것이다.
일부 구현 예들에서, RF 안테나 (110) 는 13.56 ㎒의 주파수 및 총 전력 W로 전력 공급된다. 일부 구현 예들에서, 세그먼트 각각에 대한 전력은 W를 RF 안테나 (110) 의 세그먼트들의 수로 나눈 것과 같다.
도 9a는 본 개시의 구현 예들에 따른, 복수의 더블 리버스 헤어핀 세그먼트들을 갖는 RF 안테나 (110) 의 부감도를 예시한다. 예시된 구현 예에서, RF 안테나 (110) 는 세그먼트들 (900, 916, 940, 및 956) 을 포함한다. 세그먼트 각각은 별도의 전력 공급부에 의해 전력 공급되고, 따라서 세그먼트 각각에 제공된 RF 전력이 개별적으로 튜닝될 수 있다. 이는 예를 들어, 증착 균일도를 개선하도록, 또는 심지어 차등 증착 프로파일을 의도적으로 생성하도록 활용될 (leverage) 수 있다. 세그먼트 (900) 는 전력 공급부 (912) 에 의해 전력 공급되고 종단 모듈 (914) 을 통해 접지에 연결되고; 세그먼트 (916) 는 전력 공급부 (928) 에 의해 전력 공급되고 종단 모듈 (930) 을 통해 접지에 연결되고; 세그먼트 (940) 는 전력 공급부 (952) 에 의해 전력 공급되고 종단 모듈 (954) 을 통해 접지에 연결되고; 세그먼트 (956) 는 전력 공급부 (968) 에 의해 전력 공급되고 종단 모듈 (970) 을 통해 접지에 연결된다.
세그먼트 (900) 는 커넥터들 (908 및 910) 에 의해 직렬로 연결된 전도성 라인들 (902, 904, 및 906) 을 포함하고; 세그먼트 (916) 는 커넥터들 (924 및 926) 에 의해 직렬로 연결된 전도성 라인들 (918, 920, 및 922) 을 포함하고; 세그먼트 (940) 는 커넥터들 (948 및 950) 에 의해 직렬로 연결된 전도성 라인들 (942, 944, 및 946) 을 포함하고; 세그먼트 (956) 는 커넥터들 (964 및 966) 에 의해 직렬로 연결된 전도성 라인들 (958, 960, 및 962) 을 포함한다.
전도성 라인들 상의 화살표들로 개념적으로 도시된 바와 같이, RF 안테나 (110) 가 전력 공급될 때, 인접한 전도성 라인들의 전류 흐름은 반대 방향들로 발생하여, 역전류 인덕터를 형성한다.
일부 구현 예들에서, RF 안테나 (110) 는 13.56 ㎒의 주파수 및 총 전력 W로 전력 공급된다. 일부 구현 예들에서, 세그먼트 각각에 대한 전력은 W를 RF 안테나 (110) 의 세그먼트들의 수로 나눈 것과 같다. 예를 들어, 도시된 바와 같이 4 개의 세그먼트들의 경우, 세그먼트 각각에 대한 전력은 W/4와 같다.
도 9b는 본 개시의 구현 예들에 따른, 도 9a의 구현 예의 단면도이다. 도 9b에서, RF 안테나 (110) 의 컴포넌트들은 유전체 윈도우 (980) 위에 배치된 것으로 도시된다. 유전체 윈도우 (980) 아래에 접지 전극 (982) 이 도시된다.
도 10a는 본 개시의 구현 예들에 따른 RF 안테나 (110) 의 개념적 단면도를 예시한다. RF 안테나 (110) 의 전도성 라인들 (1000) 중 하나의 길이를 따른 단면도가 도시된다. 전도성 라인들 (1000) 은 또한 인덕터 라인들로 지칭될 수도 있다. RF 안테나 (110) 는 상단 절연체 (112) 와 하단 절연체 (114) 사이에 형성된다. 또한 하단 절연체 내에 그리고 RF 안테나 (110) 아래에 패러데이 차폐부 (1002) 가 배치된다. 패러데이 차폐부 (1002) 는 RF 안테나 (110) 의 전도성 라인들의 배향에 수직으로 배향된 라인들을 포함한다. 따라서 예시된 구현 예에서, 패러데이 차폐부 (1002) 는 도면이 패러데이 차폐부의 라인들을 가로 지르는 단면이기 때문에, 전도성 라인 (1000) 아래에 일련의 짧은 세그먼트들로 나타난다.
도 10b는 도 10a의 구현 예에 따른 개념적 단면도를 예시한다. 도 10b에 도시된 도면은 도 10a의 도면에 수직이다. 따라서, 도 10b의 예시된 단면은 RF 안테나 (110) 의 전도성 라인들 (1000) 의 배향에 수직이고, 몇몇 개별 전도성 라인들 (1000) 이 가시화된다. 일부 구현 예들에서, 개별 전도성 라인들 (1000) 사이에, 상단 절연체 (112) 와 하단 절연체 (114) 를 본딩하는 에폭시 (1004) 가 있다.
정전 패러데이 차폐부 (1002) 는 전기장이 플라즈마 내로 통과하지 않도록 전도성 라인들로부터 전기장을 흡수한다. 도 10a에 도시된 화살표들은 패러데이 차폐부 (1002) 상에서 종결되는 전기장 라인들을 예시한다. 따라서, 자기장들만이 세라믹을 통해 흡수될 플라즈마 스킨 내로 통과한다.
일부 구현 예들에서, 패러데이 차폐부는 인덕터 라인들과 패러데이 차폐부 사이의 커패시턴스가 제어 가능한 양이도록 설계된다. 일부 구현 예들에서, 패러데이 차폐부 (1002) 는 접지에 있어서, 스트레이 커패시턴스가 직렬 공진 커패시턴스와 비교하여 너무 높으면, ICP 매칭은 튜닝되지 않을 것이다.
인덕터 라인들 아래에 화살표들로 나타낸 파동 벡터 K가 도 10b에 더 예시된다. 인덕터 라인 바로 아래에서 에너지 흐름의 방향은 하향 직선이다. 그러나 인덕터 라인으로부터 멀어지면, 자기장이 커브되기 때문에 에너지 흐름의 방향이 변화한다.
패러데이 차폐부는 RF 안테나 (110) 로부터 플라즈마로의 용량성 커플링을 차단하도록 기능할 수 있다. 일부 구현 예들에서, 패러데이 차폐부는 접지되는 한편, 일부 구현 예들에서, 패러데이 차폐부는 플로팅한다.
일부 구현 예들에서, 패러데이 차폐부는 윈도우를 깨끗하게 유지하도록 스퍼터링하도록 전력이 공급된다. 부가적으로, 패러데이 차폐부에 전력이 공급된다면, 이는 점화 및 플라즈마 안정성을 보조하는데 사용될 수 있다.
도 11a는 본 개시의 구현 예들에 따른, 상승된 단부 루프들을 갖는 RF 안테나 (110) 의 사시도를 예시한다. RF 안테나 (110) 에서 루프된 단부들은 일부 변압기 효과를 유발할 수도 있다. 따라서, 일부 구현 예들에서, 이 효과는 단부 루프들을 비스듬히 (예를 들어, 대략 90° 각도로) 구부림으로써 감소될 수 있다. 이는 또한 챔버 내로의 엔드 루프들의 전류 유도를 감소시킬 것이다.
예시된 구현 예에서, RF 안테나 (110) 는 상승된 단부 루프 커넥터들에 의해 직렬로 연결된 전도성 라인들을 포함한다. 예를 들어, 전도성 라인들 (1100, 1104, 1108, 1112, 및 1116) 은 도시된 바와 같이 커넥터들 (1102, 1106, 1110, 및 1114) 에 의해 직렬로 연결된다. 커넥터들은 커브된 세그먼트들 (예를 들어, 반원형) 이고 각각은 전도성 라인들이 배향되는 평면에 실질적으로 수직인 평면을 따라 배향된다. 커넥터들은 전도성 라인들의 평면으로부터 상향으로 이동하고, 이어서 전도성 라인들의 평면으로 다시 하향 이동하는, 일 전도성 라인으로부터 다른 전도성 라인으로의 전류 경로를 규정한다.
예시된 구현 예에서, RF 안테나 (110) 는 챔버 아래에 있는 기판 표면의 영역을 커버하고 넘어서 연장하도록, 챔버의 실질적으로 원형 영역을 커버하도록 구성된다. 따라서, 커넥터들이 예시된 구현 예에서와 실질적으로 동일한 형상을 갖도록 구성될 때, 인접한 전도성 라인들의 단부들 사이의 거리가 전도성 라인들의 전체 어레이 내 위치에 따라 가변할 수도 있기 때문에 커넥터들의 사이즈들은 가변할 수도 있다. 도시된 바와 같이, RF 안테나 (110) 의 단부들을 향해 위치된 인접한 전도성 라인들은 RF 안테나 (110) (예를 들어, 커넥터 (1114)) 의 중심을 향해 위치된 인접한 전도성 라인들보다 큰 커넥터들 (예를 들어, 커넥터 (1102)) 을 갖는다.
도 11b는 도 11a의 구현 예에 따른 RF 안테나 (110) 를 포함하는 프로세스 챔버 (102) 의 절단도를 예시한다. 일부 구현 예들에서, RF 안테나 (110) 는 상단 절연체 및 하단 절연체 (112 및 114) 로 구성될 수도 있는 유전체 윈도우 위에 위치된다. 일부 구현 예들에서, RF 안테나 (110) 는 상단 절연체 및 하단 절연체 (112 및 114) 사이에 위치된다.
또한 RF 안테나 (110) 에 대한 전기적 콘택트들을 규정하는 콘택트 구조체들 (1120 및 1122) 이 도시된다. 예를 들어, 콘택트 구조체 (1120) 는 전력 소스로부터 RF 전력을 수신할 수도 있지만, 콘택트 구조체 (1122) 는 접지에 연결된다.
도 11c는 도 11b의 구현 예에 따른 프로세스 챔버 (102) 의 사시도를 예시한다. RF 안테나 (110) 위의 프로세스 챔버 (102) 의 영역은 이 영역을 냉각하고 보다 구체적으로 RF 안테나 (110) 를 냉각하고 아마도 녹는 것을 방지하도록 기류를 제공받을 수 있다.
도 11d는 도 11b의 구현 예에 따른 프로세스 챔버 (102) 의 부감도를 예시한다. RF 안테나 (110) 의 상향된 단부 루프 커넥터들의 장점은 직선 전도성 라인들이 챔버 에지에 가깝게 연장될 수 있어서, 전도성 라인 각각의 길이/범위 (reach) 및 커버리지 영역이 최대화된다는 것이다. 일부 구현 예들에서, 챔버 에지로부터의 최소 거리는 RF 안테나 (110) (예를 들어, 대략 0.5 인치, 또는 1.3 ㎝) 에 대해 규정되고, 따라서 전도성 라인들은 규정된 챔버 에지로부터 최소 거리에 가깝게 연장할 수도 있다. 이러한 방식으로, 전도성 라인들은 기판 (200) 의 에지를 넘어 가능한 최대 규모로 연장하여, 기판 에지 영역들에 걸친 플라즈마 생성의 균일도를 촉진한다.
도 12a는 본 개시의 구현 예들에 따른, 프로세스 챔버 (102) 의 절단도를 예시한다. 예시된 구현 예에서, RF 안테나 (110) 및 패러데이 차폐부 (1206) 는 하부 절연체 (1200) 내에 임베딩된다. 상부 절연체 (1202) 는 하부 절연체 (1200) 위에 위치되고, 가스 플레넘 (1204) 을 규정하는 상부 절연체와 하부 절연체 사이에 공간을 형성한다. 하부 절연체 (1200) 를 통과하는 가스 쓰루 홀들 (1210) 이 또한 단면으로 가시화되어, 가스 플레넘 (1204) 내의 프로세스 가스들로 하여금 웨이퍼 페데스탈 (106) 위의 프로세스 영역 내로 하부 절연체 (1200) 를 통해 이동할 수 있게 한다.
도 12b는 도 12a의 구현 예에 따른, RF 안테나 (110) 를 포함하는 컴포넌트들의 스택을 도시하는 사시도를 예시한다. 이 도면에서 알 수 있는 바와 같이, RF 안테나 (110) 는 패러데이 차폐부 (1206) 위에 위치되고, RF 안테나 (110) 의 전도성 라인들은 패러데이 차폐부 (1206) 의 라인들에 수직으로 연장한다.
도 12c는 본 개시의 구현 예들에 따른, 프로세스 챔버 (102) 의 부감도를 예시한다. 도시된 바와 같이, RF 안테나 (110) 는 패러데이 차폐부 (1206) 위에 위치된다. 도 12c의 구현 예에서, 패러데이 차폐부의 라인 피치는 도 12a 및 도 12b에 도시된 구현 예의 라인 피치보다 작다.
도 12d는 도 12c의 구현 예에 따른, 프로세스 챔버 (102) 의 외측 부분의 단면도를 예시한다. 가스 플레넘 (1204) 은 상부 절연체 (1202) 와 하부 절연체 (1200) 사이에 도시된다. 예시된 단면은 RF 안테나 (110) 의 전도성 라인들의 방향을 따르고, 패러데이 차폐부 (1206) 의 라인들의 방향에 수직이다.
도 12e는 도 12c의 구현 예에 따른, 프로세스 챔버 (102) 의 일부의 단면도를 예시한다. 예시된 단면은 패러데이 차폐부 (1206) 의 라인들에 수직이다. 예시된 도면에서, 프로세스 가스가 패러데이 차폐부 (1210) 의 라인들 사이를 이동할 수도 있도록 위치된 가스 쓰루 홀들 (1210) 이 도시된다.
도 12f는 도 12c의 구현 예에 따른, 프로세스 챔버 (102) 의 일부의 단면도를 예시한다. 예시된 단면은 RF 안테나 (110) 의 라인들에 수직이다. 도시된 바와 같이, 가스 쓰루 홀들 (1210) 은 프로세스 가스가 RF 안테나 (110) 의 전도성 라인들 사이를 이동할 수도 있도록 위치된다.
도 13a는 본 개시의 구현 예들에 따른 RF 안테나 (110) 를 예시한다. 예시된 구현 예에서, RF 안테나 (110) 는 평행하고 동일하게 이격된 전도성 라인들 (1300, 1302, 1306, 1308, 1310, 및 1312) 을 포함한다. RF 안테나 (110) 의 전도성 라인들은 RF 안테나 (110) 의 동일한 측면으로부터 전력 공급되고 전력 소스 (118) 로부터 RF 전력을 분할한다. 전도성 라인들은 RF 안테나 (110) 의 반대편 측면 상에 접지된다. 따라서, 전류들은 라인들 (1300, 1302, 1306, 1308, 1310, 및 1312) 을 따라 동일한 방향으로 병렬로 흐른다.
도 13b는 본 개시의 구현 예들에 따른 RF 안테나 (110) 를 예시한다. 예시된 구현 예에서, RF 안테나 (110) 는 평행하고 동일하게 이격된 전도성 라인들 (1314, 1316, 1320, 1322, 1326, 및 1328) 을 포함한다. 전도성 라인들 (1314 및 1316) 은 커넥터 (1318) 에 의해 직렬로 연결되고; 전도성 라인들 (1320 및 1322) 은 커넥터 (1324) 에 의해 직렬로 연결되고; 전도성 라인들 (1326 및 1328) 은 커넥터 (1330) 에 의해 직렬로 연결된다. 도시된 바와 같이, 전도성 라인들은 RF 안테나 (110) 의 동일한 측면으로부터 전력 공급되고 접지된다. 보다 구체적으로, 전도성 라인들 (1314, 1320, 및 1326) 은 RF 전력 소스 (118) 에 의해 전력 공급되고, 전도성 라인들 (1316, 1322, 및 1328) 은 RF 안테나 (110) 의 동일한 측면을 따라 접지에 연결된다.
유도 결합 플라즈마 시스템들을 사용하여 고밀도 플라즈마를 달성하기 위한 문제는 목표된 고밀도 플라즈마를 달성하기 위해 요구되는 전압 및/또는 전력이 너무 높다는 것이다. 너무 높은 전압 및/또는 전력을 갖는 것은 복수의 문제들을 유발할 수 있다. 일반적으로 말하면, 플라즈마 시스는 플라즈마 스킨 (예를 들어, 약 1 ㎝ 두께) 의 최외곽 부분 (예를 들어, 약 1 ㎜ 두께) 을 형성한다. 유전체 윈도우 바로 아래의 플라즈마 시스의 전기장은 일반적으로 유전체 윈도우의 평면에 수직이기 때문에 (플라즈마 스킨의 전기장은 일반적으로 유전체 윈도우의 평면에 평행하다) 고전압 및/또는 전력은 이온들에 의한 유전체 윈도우의 충격을 발생시킬 것이고, 이는 웨이퍼 표면의 오염을 발생시킬 수 있다. 이는 열을 생성함으로써 에너지를 더 낭비하고 또한 인가되는 전력을 소비하여, 대신 플라즈마의 유도 가열을 위해 사용되도록 낭비되고, 프로세스를 매우 비효율적으로 만든다. 부가적으로, 고전압 및/또는 전력은 관련된 전력 컴포넌트에 스트레스를 줄 수도 있고, 과도한 마모를 생성하고, 수명을 감소시킬 수도 있고, 심지어 매칭 회로의 아크를 유발할 수도 있다.
일부 구현 예들에서, 가장 적은 플라즈마-대-인덕터 전압 및 가장 많은 인덕터-전류를 가지면서 역전류 인덕터 라인들을 플라즈마에 가장 가깝게 배치하는 방법이 고려된다. 따라서, 도 5의 구현 예를 계속 참조하면, 단일의 연속적인 길이를 갖는 것으로 규정된 RF 안테나에 대해, 다음이 고려된다:
Figure pct00001
여기서V S 는 RF 안테나의 피크 전압이고,
I 0은 인덕터 피크 전류이고,
L S 는 RF 안테나의 인덕턴스이고,
ω는 각 주파수이고 τ는 각도 기간이다.
인덕터 단부 CS2 없이 접지에 직접 연결되는 밸런싱되지 않은 ICP에 대해, 그 위상 캡 C= C S /2이고 밸런싱되지 않은 ICP 피크 전압이 밸런싱된 ICP의 피크 전압의 2배인 것을 주목해야 한다.
가장 낮은 차수에서, 상수 I 0은 ICP에 대해 상수 n e 를 유지한다. 따라서, 과제는 V S 를 가능한 낮게 유지하면서 어떻게 상수 I 0 을 유지하는가이다. V S 가 최대 전력에서 400 V 미만으로 유지될 수 있다면, 패러데이 차폐가 필요하지 않을 수도 있다.
이전에 주지된 바와 같이, 제한없이 예로서, 다음의 치수들을 갖는 RF 안테나가 고려된다: 17" 라인 세그먼트 (18 개의 라인들), 1" 라인-대-라인, 0.25" 라인 폭.
전압을 감소시키기 위한 일 전략은 도 7의 구현 예에 의해 나타낸 바와 같이, RF 안테나를 복수의 세그먼트들로 나누는 것이다. 이러한 구현을 고려하면, 다음이 고려된다:
L= 사형 셀의 인덕턴스,
L 0= 사형 셀 어레이의 인덕턴스라고 하면,
그러면,
Figure pct00002
Figure pct00003
를 갖도록 대략 보정되고,
그러면 매칭기는
Figure pct00004
Figure pct00005
을 갖고, 인덕터의 피크 전압은
Figure pct00006
Figure pct00007
Figure pct00008
이 된다.
따라서, RF 안테나를 9 개의 세그먼트들로 분할함으로써, 전압은 대략 9로 나뉘고, 라인 세그먼트 각각은 여전히 동일한 전류, I 0를 통과시킨다. 가능한 문제는 매칭기의 위상-캡들이 단일의 연속적인 길이만을 갖는 RF 안테나에 대한 매칭기의 위상 캡보다 81 배 더 커야한다는 것이다. 9-세그먼트 어레이 RF 안테나에 대한 400 V 피크-전압은 단일의 연속적인 길이 RF 안테나에 대해 3600 V와 동일하고, 이는 7200 V 불균형 ICP와 동일하다. 밸런싱되지 않은 ICP의 ±7200 V 피크-전압은 통상적으로 5 ㎾ 내지 10 ㎾의 RF 전력과 연관된다.
400 V 피크-전압이 상기 논의된 바와 같이 달성가능하지만, 이러한 전압 및 전력 요건은 여전히 너무 높을 수도 있다. 전압을 감소시키는 또 다른 방법은 이하에 논의된 바와 같이 접지된 역전류 인덕터를 통해 RF 전력을 커플링하는 것이다.
도 14a는 본 개시의 구현 예들에 따른, RF 전력을 챔버 내로 커플링하기 위해 접지된 역전류 인덕터 위에 배치된 RF 안테나의 부감도를 예시한다. (세그먼트들 (700, 702, 704, 706, 708, 710, 712, 714, 및 716) 을 포함하는) 도 7의 구현 예에 따른 RF 안테나 (110) 는 접지된 (카운터 전류) 인덕터 (1400) 위에 배치된 것으로 도시된다. RF 안테나 (110) 는 유전체 윈도우 위에 위치되고, 접지된 인덕터 (1400) 는 챔버의 프로세스 영역에서 유전체 윈도우 아래에 위치된다. 일반적으로 말하면, RF 안테나 (110) 는 이전에 논의된 바와 같이 전력 공급되고 접지된 인덕터 (1400) 의 전류들을 유도하고, 이는 결국 프로세스 영역에서 플라즈마를 유도성으로 생성한다.
도시된 바와 같이, 접지된 인덕터 (1400) 는 RF 안테나 (110) 의 전도성 라인들 바로 아래에 위치된 접지된 인덕터 라인들 (1402) 을 포함한다.
도 14b는 본 개시의 구현 예들에 따른, 접지된 인덕터 (1400) 의 단면도를 예시한다. RF 안테나 (110) 의 세그먼트 (700) 의 전도성 라인들 (718 및 720) 은 유전체 윈도우 (150) 위에 배치되고, 일부 구현 예들에서 대략 0.050 인치 (0.13 ㎝) 의 에어 갭만큼 이로부터 분리되는 것으로 도시된다. 접지된 인덕터 라인들 (1402a 및 1402b) 은 전도성 라인들 (718 및 720) 각각 아래에 정렬된다. 일부 구현 예들에서, 유전체 윈도우 (150) 는 대략 0.125 인치 (0.32 ㎝) 의 두께를 갖는다. 이러한 두께에서, 유전체 윈도우는 챔버가 진공 조건들 하에서 동작될 때 압력 차를 견디기에 구조적으로 충분히 강하지 않을 수도 있다. 따라서, 접지된 인덕터 (1400) 의 접지된 인덕터 라인들 (1402) 은 유전체 윈도우 (150) 를 지지하는 역할을 할 수도 있고, 유전체 윈도우 (150) 가 저 두께 (예를 들어, 일부 구현 예들에서 약 0.25 인치 (0.6 ㎝) 미만) 를 갖도록 구성되게 한다.
일부 구현 예들에서, RF 안테나 (110) 의 세그먼트 (718) 와 같은 헤어핀 세그먼트에 흐르는 통상적인 전류는 약 80 A이다. 그러나, 세그먼트는 박형이기 때문에 특정한 인덕턴스를 갖는다. 접지된 인덕터 라인 (1402a) 은 일부 구현 예들에서, 인덕턴스가 세그먼트 (718) 의 인덕턴스보다 약 4 배 낮도록 훨씬 더 큰 단면적을 갖는다. 따라서 단순화를 위해 플럭스의 보존을 가정하면, 접지된 인덕터 라인 (1402a) 의 전류는 세그먼트 (718) 의 전류보다 약 4 배 더 높게 된다. 따라서 세그먼트 (718) 를 흐르는 80 A가 접지된 유도 라인 (1402a) 을 흐르는 대략 320 A가 된다. 그리고 전류가 4 배 증폭되면, 접지된 유도 라인 (1402a) 의 전압은 4 배만큼 감소된다.
따라서, 전압은 RF 안테나 (110) 의 세그먼트화 (segmentation) 에 의해 그리고 접지된 인덕터 (1400) 를 사용함으로써 감소될 수 있다. 제한없이 예로서, 예를 들어, 3 ㎾의 전력 및 80 A 전류에서, (도 5의 구현 예에서와 같이) 단일의 연속적인 라인 RF 안테나 설계의 전압은 약 8000 V의 범위 내에 있을 수도 있다. 그러나, 예를 들어, (도 7의 구현 예에서와 같이) 9 개의 세그먼트화된 헤어핀 설계로 RF 안테나를 분할하면, 전압은 대략 세그먼트들의 수로 나뉘고, 따라서 8000 V 대신 세그먼트들의 전압이 (9로 나눈) 대략 900 V로 감소된다. 그리고 접지된 인덕터 (1400e) 를 사용하여, 인덕턴스가 보다 낮기 때문에, 전류는 80 A로부터 320 A로 약 4 배 더 증폭되고, 전류가 4 배 증폭될 때, 전압은 4 배 강하된다. 따라서, RF 안테나 (110) 의 900 V는 약 200 내지 300 V 범위의 접지된 인덕터 (1400) 의 전압으로 강하된다.
접지된 인덕터 라인들 (1402) 은 플라즈마에 침지되고, 그래서 접지된 인덕터 라인들 (1402) 내의 전류에 의해 생성된 자기 플럭스는 플라즈마에 강하게 커플링될 것이다. 통상적인 인덕터는 플라즈마로부터 훨씬 더 멀리 떨어져 있고, 통상적으로 보다 두꺼운 윈도우에 의해 분리된다. 그러나, 본 개시의 구현 예들은 전압이 강하되도록 전류가 증폭된 플라즈마에 위치되도록 인덕터 라인을 제공한다.
일부 구현 예들에서, RF 안테나 (110) 는 고밀도 플라즈마를 생성하기 위해 대략 2 내지 5 ㎾의 전력으로 전력 공급된다.
도시된 바와 같이, 일부 구현 예들에서, 접지된 인덕터 라인들 (1402) 은 약 0.4 인치 (1 ㎝) 의 단면 폭 및 약 0.5 인치 (1.3 ㎝) 의 단면 높이를 갖는다. 접지된 인덕터 라인들 (1402) 의 피치는 RF 안테나 (110) 의 전도성 라인들의 피치와 동일하다. 따라서, 일부 구현 예들에서, 접지된 인덕터 라인들 (1402) 의 피치는 약 1 인치 (2.5 ㎝) 이다.
일부 구현 예들에서, 접지된 인덕터 (1400) 의 프레임 (1404) 은 진공 하에서 챔버의 무결성을 구조적으로 유지하기 위해 진공 벽으로서 작용하는, 프로세스 챔버의 측벽들의 일부를 형성하는 원피스 구조체로서 형성된다. 가스켓 (1406) 은 유전체 윈도우 (150) 와 접지된 인덕터 (1400) 의 프레임 (1404) 사이에 시일을 제공하도록 프레임 (1404) 내에 임베딩된다. 접지된 인덕터 (1400) 의 인덕터 라인들 (1402) 은 이들의 단부들에서 프레임 (1404) 에 부착되고, 일부 구현 예들에서, 프레임 (1404) 과 함께 연속적인 구조체로서 형성된다는 것이 이해될 것이다.
접지된 인덕터 (1400) 는 또한 패러데이 차폐부로서 기능하고, 따라서 전기장이 접지된 인덕터 (1400) 에 의해 흡수되기 때문에, RF 안테나 (110) 로부터 전기장을 차단하기 위해 부가적인 패러데이 차폐부가 필요하지 않다는 것이 인식될 것이다.
부가적으로, 일부 구현 예들에서, RF 안테나 (110) 의 전도성 라인들과 접지된 인덕터 (1400) 의 접지된 인덕터 라인들 사이의 갭은 유도성 커플링을 최대화하도록 가능한 가장 작게 구성될 수 있는 한편, 또한 직렬 공진을 용이하게 할 수 있는 스트레이 커패시턴스를 지지하기에 충분히 크다.
도 14c는 도 14a의 구현 예에 따른, 플라즈마 프로세싱 시스템의 구조체들에서 전류들의 상대적인 위상들을 예시하는 그래프이다. 도시된 바와 같이, 어떠한 특정한 동작 이론에 얽매이지 않고, 일부 구현 예들에서, 접지된 인덕터 (1400) 의 접지된 유도 라인들의 전류는 RF 안테나 (110) 의 각각의 전도성 라인들의 전류 (헤어핀 전류) 로부터 대략 90° 위상 시프팅된다고 제안된다. 또한, 플라즈마의 전류는 접지된 인덕터 (1400) 의 전류로부터 대략 90° 위상 시프팅되도록 제안된다. 따라서, 플라즈마의 전류는 RF 안테나 (110) 의 전류로부터 대략 180° 위상 시프팅되도록 제안된다.
도 14d는 도 14a의 구현 예에 따른, 접지된 인덕터 (1400) 의 접지된 인덕터 라인 (1402) 의 단면도를 예시한다. 도시된 바와 같이, 일부 구현 예들에서, 구조체는 진공 프로세스들 동안 유전체 윈도우 (150) 를 지지하기에 적합한 강도를 제공하는 알루미늄 중심 바디 (1410) 를 포함한다. 그러나, 알루미늄은 강하지만 우수한 RF 전도체는 아니다. 따라서, 알루미늄 바디 (1410) 는 중심 바디 (1410) 위에 전도성 코팅 (1412) 을 형성하도록, Cu 또는 Ni-Ag-Ni와 같은 고 전도성 재료로 코팅될 수 있다. 이어서 보호 코팅 (1414) 이 전도성 코팅 (1412) 위에 증착될 수 있고, 보호 코팅 (1414) 은 이트륨 옥사이드 (Y2O3) 와 같은 프로세스 조건들 동안 화학적으로 비 반응성일 재료로 구성된다.
부가적으로, 일부 구현 예들에서, 냉각 채널들 (1420) 은 냉각제로 하여금 접지된 인덕터 (1400) 내에서 순환되게 하고 접지된 인덕터 (1400) 의 온도 제어를 제공하도록, 접지된 인덕터 라인들 (1402) 내에 규정될 수 있다.
또한, 일부 구현 예들에서, 가스 채널들 (1422) 은 접지된 인덕터 라인들 (1402) 내에서 진행될 수 있고, 이는 프로세스 가스들로 하여금 접지된 인덕터 (1400) 를 통해 챔버 내로 공급되게 한다. 프로세스 가스들은 가스 채널들 (1422) 내로 라우팅될 수 있고 유출 홀들 (1424) 을 통해 프로세스 영역 내로 분배될 수 있다. 샤워헤드의 통합은 일반적으로 유전체 윈도우를 갖는 모든 시스템에 대해 항상 문제이다. 그러나, 본 명세서에 개시된 접지된 인덕터 (1400) 는 유전체 윈도우 (150) 가 다른 시스템들에서와 같이 임의의 홀들을 가질 필요가 없도록 내부에 액체 냉각 및 프로세스 가스들을 위한 채널들이 진행될 수 있는 금속 피스를 제공한다.
도 15a 및 도 15b는 본 개시의 구현 예들에 따른, 접지된 인덕터 (1500) 의 부감도 및 단면도를 각각 예시한다. 도 15a 및 도 15b의 접지된 인덕터 (1500) 는 주로 접지된 인덕터 라인들 (1502) 의 폭이 대략 0.8 인치 (2 ㎝) 의 폭을 갖도록 넓어진다는 점에서 도 14a 및 도 14b의 접지된 인덕터 (1400) 와 상이하다.
기존의 ICP 시스템들에서, 인덕터 라인들에 수직으로 이어지는 라인들로 배향된 패러데이 차폐부를 갖고, 패러데이 차폐부에 대해 자기 플럭스가 패러데이 차폐부를 통해 프로세스 영역으로 들어 오도록 갭들을 갖는 것이 일반적으로 바람직하다. 그러나, 접지된 인덕터 구조체의 본 설계에서, 플라즈마로 들어올 RF 안테나 (110) 로부터의 어떠한 자기 플럭스도 갖지 않는 것이 바람직하다. 오히려, 자기 플럭스가 위 및 아래에 전기장을 유도하게 하는 것이 바람직하다.
X 및 점으로 나타낸 전류에 대해, 각각 페이지 내외로 이동하는 전류를 나타내고,
Figure pct00009
&
Figure pct00010
J는 전류이고; 시그마는 전도도이고; E는 전기장이다.
접지된 인덕터 (1400) 는 일부 구현 예들에서, 플라즈마보다 상당히 우수한 전도도를 갖는 (상기 주지된 바와 같이) 구리 (Cu) 로 코팅된 알루미늄이다. 따라서, RF 안테나 (110) 의 전류는 주로 접지된 인덕터 (1400) 의 구리의 전류가 되기 때문에, RF 안테나 (110) 의 플럭스는 실질적으로 플라즈마 전류를 생성하지 않을 것이다. 따라서 RF 안테나 (110) 에 의한 자기 플럭스는 접지된 인덕터 라인들에 의해 실질적으로 완전히 차단된다. 일부 구현 예들에서, 접지된 인덕터 라인들 사이의 갭은 매우 작은 갭, 예를 들어 일부 구현 예들에서 약 0.5 인치 (1.3 ㎝) 미만, 일부 구현 예들에서 약 0.2 인치 (0.5 ㎝) 미만일 수 있다.
접지된 유도 라인들 (예를 들어, 0.2") 의 근접성은 90°까지의 라인-대-라인 전류 위상을 강제할 수 있다; "공간적 확률적" 가열을 향상시키는 효과는 이하에 더 논의된다. 근접성은 또한 접지된 유도 라인에 대해 감소된 인덕턴스를 발생시키는 역전류 상호 유도를 증가시키고, 이는 주어진 전류에 대해 접지된 유도 라인 상의 피크 전압을 감소시킨다. 보다 넓은 접지된 유도 라인은 또한 국부적인 플라즈마 밀도 균일도에 유용할 수 있다.
도 16은 본 개시의 구현 예들에 따른, 단일 헤어핀 역전류 인덕터 세그먼트를 예시한다. 도시된 바와 같이 세그먼트 (1600) 는 상기 논의된 구현 예들에 따라 역전류 인덕터 RF 안테나 (110) 를 형성하도록 조직된 이러한 세그먼트들의 어레이의 일부일 수 있다. 고려할 문제는 예시된 구현 예에서 라인 1 및 라인 2로서 나타낸, 세그먼트 (1600) 의 2 개의 전도성 라인들을 따른 정확한 전류 위상이다.
그럼에도 불구하고, 어떠한 특정한 동작 이론에 얽매이지 않고, 래더 피스 각각은 균일 전류 인덕터가 아닐 가능성이 높다고 상정된다. 라인-대-라인 유도는 전류 위상에 영향을 주는 역할을 한다고 여겨진다. 그래프 (1602) 는 제한없이 예로서, 라인-대-라인 유도가 지배한다면 발생한다고 여겨지는, 90° 라인-대-라인 전류 위상을 예시한다. 그러나, 라인-대-라인 전도 (실제 전류) 가 우세하면, 라인-대-라인 전류 위상은 180°이고, 헤어핀 감김 기하 구조에 의해 강제된 180° 방향 변화가 이것을 생성할 것이라는 것을 주의한다.
전류 위상에 대한 라인-대-라인 전도 및 유도 효과들 모두의 관점에서, 따라서 아마도 라인-대-라인 전류 위상은 전류/전압 노드로의 라인의 길이를 따라 전류로 가변하는 90° 내지 180°의 혼합일 수 있다.
도 17은 본 개시의 구현 예들에 따른, 90° 라인-대-라인 위상 공간-교번 플라즈마-스킨 유도 전류들의 효과를 예시한다.
∇XE는 Δx ~ 1 ㎜ (단순히 Δx에서 ωB로 인해) 에서 가장 높고, 전자들이 Δx를 가로 질러 드리프트할 때 전자들의 "공간적" 확률적 충돌없는 가열을 야기할 것이다. Landau 댐핑 및 사이클로트론 공진의 부재시, 이러한 공간적 확률적 충돌없는 가열은 전자들이 일치하는 위상 조건 하에서 Δx를 가로 질러 드리프트할 때 발생한다. 라인-대-라인 위상이 180°이라면, 이러한 일치하는 위상은 매우 작다. 그러나, 90°라인-대-라인 페이즈에 대해, 이러한 일치하는 위상은 π=>½ 시간 충돌없는 가열이 발생한다. 플라즈마가 충돌한다면, 이러한 공간적 확률적 프로세스는 단순히 충돌 완화를 통해 이온화를 향상시킨다.
따라서, 90°의 라인-대-라인 전류 위상의 효과는, 존재한다면, 순수 180° 조건에서도 보통 발생하는 라인들 아래 영역들 사이에서 EEDf 혼합에 더하여 향상된 이온화이다.
도 18a는 본 개시의 구현 예들에 따른, 직렬 사형 구성을 갖는 RF 안테나 (1800) 의 부감도를 예시한다. RF 안테나는 2.4 μH의 인덕턴스, 0.25 인치 라인 폭을 갖고, 평행한 라인들은 균등하게 이격되고 1 인치 피치를 갖는다.
도 18b는 다양한 전력들 하에서 RF 안테나 (1800) 를 사용하여 생성된 플라즈마에 대한 측방향 위치의 함수로서 이온 밀도를 도시하는 그래프이다. 측방향 위치는 RF 안테나의 라인들에 수직으로 연장하는 챔버의 중심 라인을 따른 위치이다. 예시된 구현 예에서, 결과들은 300 ㎜ 웨이퍼 평면에 걸쳐 에지-대-에지를 측정하는 것과 동등한 30 ㎝ 거리에 대해 도시된다. 이온 밀도는 이동하는 Langmuir 프로브를 사용하여 측정되었다. 플라즈마는 유전체 윈도우로부터 웨이퍼 평면까지 2 인치 수직 갭을 갖는 챔버에서 50 mT Ar을 사용하여 생성되었다.
곡선들 (1810, 1812, 1814, 1816, 1818, 및 1820) 은 각각 500 W, 1000 W, 1500 W, 2000 W, 2500 W, 및 3000 W의 전력 레벨들에 대한 결과들에 대응한다.
이하의 개시에서, RF 안테나 구성들의 몇몇 상이한 예들이 도시되고 테스트된다. 인덕터 라인들은 일반적으로 대략 1/2 인치의 높이 및 대략 1/8 인치의 폭을 갖는다. 그러나, 다른 구현 예들에서 인덕터 라인들의 높이 및 폭은 가변할 수도 있다는 것이 이해될 것이다. 일반적으로 말하면, 인덕터 라인의 폭보다 큰 인덕터 라인 높이는 용량성 커플링 대 유도성 커플링을 감소시키는데 유리할 수 있다. 실험 목적을 위해, RF 전력은 13.56 ㎒의 주파수로 인가되었다. 그러나, 다른 구현 예들에서 전력의 주파수는 예를 들어 약 400 ㎑ 내지 약 2 ㎒의 범위에서 가변할 수도 있다는 것이 인식될 것이다.
도 19a는 5 개의 헤어핀 세그먼트들 (1900, 1902, 1904, 1906, 및 1908) 을 갖는 RF 안테나를 개략적으로 예시한다. 세그먼트들은 전력 공급될 때, RF 안테나가 역전류 인덕터를 동작시키도록 배열된다.
도 19b는 역전류 인덕터를 형성하는, 5 개의 헤어핀 세그먼트들 (1910, 1912, 1914, 1916, 및 1918) 을 포함하는, 도 19a의 구현 예에 따른 RF 안테나의 부감도를 예시한다.
도 20a는 본 개시의 구현 예들에 따른, 라인들 사이에 1.5 인치 간격을 갖는 단일 헤어핀 엘리먼트 (2000) 를 예시한다.
도 20b는 단일 헤어핀 엘리먼트 (2000) 를 사용하여 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 곡선들 (2010 및 2012) 은 각각 500 W 및 1000 W에서의 결과들을 예시한다.
도 20c는 도 20b에 도시된 결과들에 대해 정규화된 밀도 대 정규화된 전력을 도시하는 그래프이다.
도 21a는 용량성 커플링을 감소시키기 위해 안테나와 유전체 윈도우 (2102) 사이에 도입된 0.125" 간격을 갖는 단일 헤어핀 엘리먼트 (2100) 의 사시도를 예시한다. 도시된 바와 같이, 간격은 유전체 윈도우 (2102) 상에 장착된 스페이서들 (2104 및 2106) 을 사용함으로써 달성된다. 유전체 윈도우 (2102) 의 두께는 대략 3/4 인치이다.
도 21b는 유전체 윈도우로부터 0.125 인치 간격을 갖는 단일 헤어핀 엘리먼트 (2100) 를 사용하여 250 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 곡선들 (2110, 2112, 2114, 및 2116) 은 각각 500 W, 750 W, 1000 W, 및 1250 W에서의 결과들을 예시한다.
도 22a는 3 인치 간격을 갖는 단일 헤어핀 엘리먼트 (2200) 를 개략적으로 예시한다.
도 22b는 단일 헤어핀 엘리먼트 (2200) 를 사용하여 250 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 곡선들 (2210, 2212, 2214, 및 2216) 은 각각 500 W, 750 W, 1000 W, 및 1250 W에서의 결과들을 예시한다.
도 23a는 도시된 바와 같이 2.5"/2.5"/2.5"의 라인 간격을 갖고, 역전류 인덕터로서 구성된, 2 개의 세그먼트들 (2300 및 2302) 로 구성된 RF 안테나를 개략적으로 예시한다.
도 23b는 도 23a의 구현 예에 따른, 세그먼트들 (2310 및 2312) 을 갖는 RF 안테나의 부감도를 예시한다.
도 23c는 도 23b의 RF 안테나를 사용하여 250 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 안테나 라인들의 대략적인 측방향 위치들은 참조 번호 2320에 도시된다. 곡선들 (2322, 2324, 2326, 및 2328) 은 각각 500 W, 750 W, 1000 W, 및 1250 W에서의 결과들을 예시한다.
도 24a는 도시된 바와 같이 2.5"/2.5"/2.5"의 라인 간격을 갖고, 부분적으로 병렬 전류 인덕터 및 부분적으로 역전류 인덕터로서 구성된, 2 개의 헤어핀 세그먼트들 (2400 및 2402) 로 구성된 RF 안테나를 개략적으로 예시한다. 알 수 있는 바와 같이, 세그먼트들은 단일 헤어핀 세그먼트 내에서 인접한 라인들의 전류가 반대 방향으로 흐르고, 반면에 2 개의 헤어핀 세그먼트들 사이에서, 인접한 라인들의 전류는 동일한 방향으로 흐르도록 구성되고 배치된다. 일부 실시 예들에서, 헤어핀 전도성 라인들의 내측 세그먼트들 사이의 분리는 웨이퍼에 걸친 균일도에 영향을 주도록 튜닝 노브로서 증가되거나 감소되도록 조정될 수 있다. 도 24a의 예에서, 2 개의 헤어핀 전도성 라인들이 도시된다. 다른 실시 예들에서, 부가적인 헤어핀 전도성 라인들, 예를 들어, 4 개의 헤어핀 전도성 라인들이 부가될 수 있다. 헤어핀 전도성 라인 각각에 대한 전력 및 접지의 연결들은 인접한 내측 라인들의 전류가 동일한 방향으로 또는 반대 방향들로 진행되는지 여부를 설정하도록 이루어질 수 있다. 다른 경우들에서, 세그먼트 각각에 연결된 전력 소스는 예를 들어, 헤어핀 전도성 라인 각각에 상이한 전력, 전압, 및/또는 주파수를 제공하도록 개별적으로 제어될 수 있다. 이러한 방식으로, 헤어핀 전도성 라인들의 구조적 배치가 상이한 분리들로 설정될 수 있을뿐만 아니라, 세그먼트 각각에 제공된 전력/전류는 또한 목표된 균일도 프로파일들을 달성하도록 개별적으로 설정되거나 동적으로 조정될 수 있다. 예로서, 도 31c 및 도 32c는 예를 들어, 서로에 대한 헤어핀 전도성 라인들의 설정된 상대적인 배향, 및 RF 전력 소스 및 접지에 대한 연결들에 의해 균일도가 어떻게 달성될 수 있는지를 도시한다.
도 24b는 도 24a의 구현 예에 따른, 세그먼트들 (2410 및 2412) 을 갖는 RF 안테나의 부감도를 예시한다.
도 24c는 도 24b의 RF 안테나를 사용하여 120 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 안테나 라인들의 대략적인 측방향 위치들은 참조 번호 2420에 도시된다. 곡선들 (2422, 2424, 2426, 및 2428) 은 각각 500 W, 750 W, 1000 W, 및 1250 W에서의 결과들을 예시한다.
도 25a는 도시된 바와 같이 2.5"/3.5"/2.5"의 라인 간격을 갖고 부분적으로 병렬 전류 인덕터 및 부분적으로 역전류 인덕터로서 구성된, 2 개의 헤어핀 세그먼트들 (2500 및 2502) 로 구성된 RF 안테나를 개략적으로 예시한다. 도 25a의 구현 예는 도 24a의 구현 예와 유사하지만, 보다 넓은 중심 간격을 갖는다.
도 25b는 도 25a의 구현 예에 따른, 세그먼트들 (2510 및 2512) 을 갖는 RF 안테나의 부감도를 예시한다.
도 25c는 도 25b의 RF 안테나를 사용하여 250 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 안테나 라인들의 대략적인 측방향 위치들은 참조 번호 2520에 도시된다. 곡선들 (2522, 2524, 2526, 및 2528) 은 각각 500 W, 750 W, 1000 W, 및 1250 W에서의 결과들을 예시한다.
도 26a는 도시된 바와 같이 3.5"/3.5"/3.5"의 균등한 라인 간격을 갖고, 부분적으로 병렬 전류 인덕터 및 부분적으로 역전류 인덕터로서 구성된, 2 개의 헤어핀 세그먼트들 (2600 및 2602) 로 구성된 RF 안테나를 개략적으로 예시한다. 도 26a의 구현 예는 도 25a의 구현 예와 유사하지만, 보다 넓은 간격을 갖는다.
도 26b는 도 26a의 구현 예에 따른, 세그먼트들 (2610 및 2612) 을 갖는 RF 안테나의 부감도를 예시한다.
도 26c는 도 26b의 RF 안테나를 사용하여 250 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 곡선들 (2622, 2624, 2626, 및 2628) 은 각각 500 W, 750 W, 1000 W, 및 1250 W에서의 결과들을 예시한다.
도 27a는 병렬로 연결되고, 도시된 바와 같이 2.5"/3.5"/2.5"의 라인 간격을 갖고, 반-역전류 어레이/인덕터 또는 병렬 전류 어레이/인덕터로서 구성된 몇몇 라인들 (2700, 2702, 2704, 및 2706) 로 구성된 RF 안테나를 개략적으로 예시한다. 즉, RF 안테나가 전력 공급될 때, 인덕터 라인들의 전류는 동일한 방향으로 병렬로 흐른다. 도 27a의 구현 예는 라인 간격의 면에서 도 25a의 구현 예와 유사하지만, 주지된 바와 같이 완전한 병렬 전류를 갖는다.
도 27b는 도 27a의 구현 예에 따른, 라인들 (2710, 2712, 2714, 및 2716) 을 갖는 RF 안테나의 부감도를 예시한다.
도 27c는 도 27b의 RF 안테나를 사용하여 250 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 안테나 라인들의 대략적인 측방향 위치들은 참조 번호 2720에 도시된다. 곡선들 (2722, 2724, 2726, 2728, 및 2730) 은 각각 500 W, 750 W, 1000 W, 1250 W, 및 1500 W에서의 결과들을 예시한다.
도 28a는 도시된 바와 같이 2 인치의 균등한 라인 간격을 갖고, 역전류 인덕터로서 구성된 3 개의 헤어핀 세그먼트들 (2800, 2802, 및 2804) 을 갖는 RF 안테나를 개략적으로 예시한다.
도 28b는 도 28a의 구현 예에 따른, 헤어핀 세그먼트들 (2810, 2812, 및 2814) 을 갖는 RF 안테나의 부감도를 예시한다.
도 28c는 도 28b의 RF 안테나를 사용하여, 250 mT Ar, 1000 W에서 생성된 플라즈마에 대한 측방향 위치 대 이온 밀도의 그래프이다. 곡선들 (2820 및 2822) 은 각각 20 % 및 45 %의 결과들을 예시한다.
도 29a는 도시된 바와 같이 2 인치의 균등한 간격을 갖고 부분적으로 병렬 전류 인덕터 및 부분적으로 역전류 인덕터로서 구성된 3 개의 헤어핀 세그먼트들 (2900, 2902, 및 2904) 로 구성된 RF 안테나를 개략적으로 예시한다.
도 29b는 도 29a의 구현 예에 따른, 세그먼트들 (2910, 2912, 및 2914) 을 갖는 RF 안테나의 부감도를 예시한다.
도 29c는 도 29b의 RF 안테나를 사용하여 250 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 곡선들 (2922, 2924, 2926, 및 2928) 은 각각 500 W, 750 W, 1000 W, 및 1250 W에서의 결과들을 예시한다.
도 30a는 도시된 바와 같이 2 인치의 균등한 간격을 갖고, 역전류 인덕터로서 구성되지만, 인접한 헤어핀 세그먼트들이 반대편의 측면들로부터 전력 공급/접지되도록 헤어핀 세그먼트들의 교번하는 리버스 셋업을 갖는, 3 개의 헤어핀 세그먼트들 (3010, 3012, 및 3014) 로 구성된 RF 안테나의 부감도를 예시한다. 도 30a의 구현 예는 중간 헤어핀 세그먼트 (3002) 가 외측 2 개의 헤어핀 세그먼트들 (3002 및 3004) 과 비교할 때 반대편 측면으로부터 전력 공급되고 접지된다는 것을 제외하고 도 28a 및 도 28b의 구현 예와 유사하다.
도 30b는 도 30a의 RF 안테나를 사용하여 250 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 곡선들 (3022 및 3024) 은 각각 1000 W 및 1500 W에서의 결과들을 예시한다.
도 31a는 도시된 바와 같이 2.5"/4.5"/2.5"의 라인 간격을 갖고, 부분적으로 병렬 전류 인덕터 및 부분적으로 역전류 인덕터로서 구성된, 2 개의 헤어핀 세그먼트들 (3100 및 3102) 로 구성된 RF 안테나를 개략적으로 예시한다.
도 31b는 도 31a의 구현 예에 따른, 세그먼트들 (3110 및 3112) 을 갖는 RF 안테나의 부감도를 예시한다.
도 31c는 도 31b의 RF 안테나를 사용하여 250 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 곡선들 (3122, 3124, 3126, 및 3128) 은 각각 500 W, 750 W, 1000 W, 및 1250 W에서의 결과들을 예시한다.
도 32a는 도시된 바와 같이 2.5"/4.0"/2.5"의 라인 간격을 갖고 부분적으로 병렬 전류 인덕터 및 부분적으로 역전류 인덕터로서 구성된, 2 개의 헤어핀 세그먼트들 (3200 및 3202) 로 구성된 RF 안테나를 개략적으로 예시한다.
도 32b는 도 32a의 구현 예에 따른, 세그먼트들 (3210 및 3212) 을 갖는 RF 안테나의 부감도를 예시한다.
도 32c는 도 32b의 RF 안테나를 사용하여 250 mT Ar에서 생성된 플라즈마에 대한 측방향 위치에 대한 이온 밀도의 그래프이다. 곡선들 (3222, 3224, 3226, 및 3228) 은 각각 500 W, 750 W, 1000 W, 및 1250 W에서의 결과들을 예시한다.
이하의 표 1은 도 32c에 예시된 결과들에 대한 부가적인 데이터 분석을 보여준다.
전력 평균 최대 표준 편차 범위 표준 편차 (-3pts) 범위 (-3pts)
500 4.35E+11 6.85E+11 35 % 57 % 31 % 47 %
750 6.03E+11 7.67E+11 25 % 46 % 17 % 26 %
1000 8.46E+11 9.92E+11 20 % 39 % 9 % 15 %
1250 1.18E+12 1.33E+12 20 % 47 % 7 % 14 %
도 33은 도시된 바와 같이 2"/2"/3.5"/2"/2"의 라인 간격을 갖고, 부분적으로 병렬 전류 인덕터 및 부분적으로 역전류 인덕터로서 구성된, 2 개의 S-형상 (S-핀/작은-사형) 세그먼트들 (3300 및 3302) 로 구성된 RF 안테나를 개략적으로 예시한다. S-핀 세그먼트들 각각은 역전류 인덕터로서 개별적으로 구성된다. 그러나, 세그먼트들 (3300 및 3302) 의 라인들 중 인접한 라인들 (가장 안쪽에 있는 2 개의 인접한 라인들) 은 동일한 방향의 병렬 전류를 위해 구성된다.
도 34는 1.5"의 균등한 라인 간격을 갖고, 부분적으로 병렬 전류 인덕터 및 부분적으로 역전류 인덕터로서 구성된 4 개의 헤어핀 세그먼트들 (3400, 3402, 3404, 및 3406) 로 구성된 RF 안테나를 개략적으로 예시한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 기판 지지부, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 구현 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 수행될 프로세스의 타입 및 제어기가 인터페이싱하거나 제어하도록 구성된 툴의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예를 들어, 함께 네트워킹되고 공통 목적, 예컨대 본 명세서에 기술된 프로세스들 및 제어들을 향해 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 결합하는 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치된 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 35a는 본 개시의 구현 예들에 따른, RF 안테나 (3502) 의 구성을 도시하는 프로세스 챔버 (3500) 내로의 부감도를 예시한다. RF 안테나 (3502) 는 유전체 윈도우 (미도시) 위에 배치되고 전력이 공급될 때 프로세스 챔버 (3500) 내에서 플라즈마를 유도성으로 생성하도록 구성된다. RF 안테나 (3502) 는 서로 평행하고 동일 평면 상에 있는 (프로세스 챔버의 유전체 윈도우 위의 동일한 평면을 따라 배향된) 몇몇 전도성 라인들을 포함한다. 이들은 외측 전도성 라인들 (3504a 및 3504b), 및 내측 전도성 라인들 (3506a 및 3506b) 을 포함한다. 예시된 구현 예에서, 내측 전도성 라인들 (3506a 및 3506b) 의 전류 흐름은 동일한 미리 결정된 방향으로 발생하는 한편, 외측 전도성 라인들 (3504a 및 3504b) 의 전류 흐름은 반대 방향으로 발생한다.
전도성 라인들 (3504a, 3504b, 3506a, 및 3506b) 은 실질적으로 RF 안테나의 직선 부분들이다. 도시된 바와 같이, 전도성 라인들 (3504a 및 3506a) 은 연결 부분 (3508a) 에 의해 연결되고, 전도성 라인들 (3504b 및 3506b) 은 연결 부분 (3508b) 에 의해 연결되어, 내측 전도성 라인과 외측 전도성 라인 사이의 전류 흐름을 인에이블한다. 연결 부분들은 턴어라운드 (turnaround) 로서 기능하여, 외측 전도성 라인들에서 흐르는 전류는 내측 전도성 라인들에서 흐르는 전류와 반대 방향으로 흐른다.
내측 전도성 라인 (3506a 및 3506b) 은 일부 구현 예들에서 약 1 내지 6 인치 (약 2.5 내지 15 ㎝), 일부 구현 예들에서 약 3 내지 5 인치 (약 7.5 내지 12.5 ㎝), 또는 일부 구현 예들에서 약 4 인치 (약 10 ㎝) 의 피치 간격 S1 (중심-대-중심 거리) 으로 이격된다.
인접한 외측 전도성 라인들 및 내측 전도성 라인들 (3504a 및 3506a 뿐만 아니라 3504b 및 3506b) 는 일부 구현 예들에서 약 2 내지 6 인치 (약 5 내지 15 ㎝), 일부 구현 예들에서, 약 2 내지 3 인치 (약 5 내지 7.5 ㎝), 또는 일부 구현 예들에서 약 2.5 인치 (약 6 내지 6.5 ㎝) 의 피치 간격 S2 (중심-대-중심 거리) 로 이격된다.
일부 구현 예들에서, 내측 전도성 라인 및 외측 전도성 라인은 웨이퍼의 표면 위의 영역 전체에 걸쳐 실질적으로 직선이도록 구성된다. 즉, 웨이퍼 표면의 중심을 통해 직교하는 축에 대해, 전도성 라인들은 웨이퍼의 반경에 있거나 웨이퍼의 반경을 넘는 지점까지 실질적으로 직선 방식으로 연장한다. 도시된 실시 예에서, 웨이퍼의 반경 R1이 도시되고, 연결 부분들 (3508a 및 3508b) 의 반경 R2는 반경 R1보다 크다.
도 35b는 본 개시의 구현 예들에 따른, 프로세스 챔버 (3500) 의 절단 사시도를 예시한다. 도시된 바와 같이, RF 안테나 (3502) 는 유전체 윈도우 (3510) 위에 배치된다. 일부 구현 예들에서, 유전체 윈도우 (3510) 의 두께는 약 0.5 내지 2 인치 (약 1 내지 5 ㎝) 의 범위이다. 일부 구현 예들에서, 유전체 윈도우 (3510) 로부터 RF 안테나 (3502) 의 거리는 약 0 내지 0.5 인치 (약 0 내지 1.5 ㎝) 이다.
RF 안테나 (3502) 는 RF 안테나에 대한 RF 소스를 함께 규정하는 매칭부 (3514) 를 통해 생성기 (3512) 로부터 RF 전력을 수신한다. 도시된 바와 같이, 일부 구현 예들에서, 외측 전도성 라인들 (3504a 및 3504b) 은, RF 소스 (RF 안테나의 접지/복귀 측면) 에 대해 다운스트림에 위치된 내측 전도성 라인들 (3506a 및 3506b) 과 비교하여, RF 소스에 연결되거나 RF 소스에 대해 업스트림 (RF 안테나의 RF 피드 측) 에 위치된다. 일부 구현 예들에서, 내측 전도성 라인들 (3506a 및 3506b) 은 가변 커패시터를 포함하는 종단 캡 (3516) 에 연결되고 접지에 연결된다.
예시된 구현 예에 도시된 바와 같이, RF 안테나 (3502) 의 단부들은 전도성 라인들의 평면 위로 상승된다는 것을 더 주의한다. 보다 구체적으로, 피드 측 상의 RF 안테나의 단부들 (참조번호 3518) 은 동일한 RF 소스로의 연결을 위해 외측 전도성 라인들을 전기적으로 링크하는 역할을 한다. 유사하게, 접지/복귀 측 상의 RF 안테나의 단부들 (참조번호 3520) 은 동일한 종단 캡에 연결하기 위해 내측 전도성 라인들을 링크한다.
대안적인 구현 예에서, 내측 전도성 라인들은 업스트림에 위치되고 RF 소스에 연결되고, 외측 전도성 라인들은 다운스트림에 위치되고 종단 캡에 연결된다.
예시된 구현 예에서, RF 안테나 (3502) 의 업스트림 및 다운스트림 단부들은 동일한 RF 소스에 의해 전력 공급되도록 결합되고 동일한 종단 캡을 통해 접지된다. 그러나, 일부 구현 예들에서 RF 안테나 (3502) 의 업스트림 단부들은 별도의 RF 소스들에 의해 전력 공급될 수 있다는 것이 인식될 것이다. 또한, 일부 구현 예들에서, RF 안테나 (3502) 의 다운스트림 단부들은 별도의 종단 캡들에 의해 종단될 수 있다.
도 35c는 본 개시의 구현 예들에 따른, RF 안테나 (3502) 의 전도성 라인들에 수직인 평면을 따른 프로세스 챔버 (3500) 의 절단도를 예시한다.
도 36a는 본 개시의 구현 예들에 따른, RF 안테나 (3600) 의 구성을 도시하는 프로세스 챔버 (3500) 내로의 부감도를 예시한다.
도 36b는 본 개시의 구현 예들에 따른, RF 안테나 (3600) 를 포함하는 프로세스 챔버 (3500) 의 절단 사시도를 예시한다.
RF 안테나 (3600) 는 RF 안테나 (3502) 에 도시되고 기술된 전도성 라인들의 세트에 더하여, RF 안테나 (3600) 는 제 1 전도성 라인들의 세트 바로 위에 배치된 제 2 평행 전도성 라인들의 세트를 부가적으로 포함하도록, 웨이퍼 영역 위로 연장하는 전도성 라인들의 스택된 구성을 갖는다. 즉, RF 안테나 (3600) 는 도시된 바와 같이 하단 세트의 전도성 라인들 위에 각각 정렬되는 동일 평면 상의 평행 전도성 라인들의 하단 세트, 및 동일 평면 상의 평행 전도성 라인들의 상부 세트를 포함한다.
예시된 구현 예에서, RF 안테나 (3600) 는 상부 내측 전도성 라인들 (3602a 및 3602b), 및 상부 외측 전도성 라인들 (3606a 및 3606b) 을 포함하는 동일 평면 상에 있고 평행한 전도성 라인들의 상부 세트를 포함한다. RF 안테나 (3600) 는 상부 내측 전도성 라인들 (3610a 및 3610b), 및 상부 외측 전도성 라인들 (3614a 및 3614b) 을 포함하는 동일 평면 상에 있고 평행한 전도성 라인들의 하부 세트를 더 포함한다.
상부 내측 전도성 라인 (3602a) 은 연결 세그먼트 (3604a) 를 통해 상부 외측 전도성 라인 (3606a) 에 연결되고, 상부 외측 전도성 라인 (3606a) 은 연결 세그먼트 (3608a) 를 통해 하부 내측 전도성 라인 (3610a) 에 연결되고, 하부 내측 전도성 라인 (3610a) 는 부가적인 연결 세그먼트 (미도시, 연결 세그먼트 바로 아래) 를 통해 하부 외측 전도성 라인 (3614a) 에 연결된다. 이러한 방식으로, 이들 라인들 및 세그먼트들은 RF 안테나 (3600) 의 절반을 실질적으로 규정하는 루프된 구조를 형성한다. RF 안테나 (3600) 의 다른 절반은 유사한 루프 구조체에 의해 실질적으로 유사하게 규정되고, 상부 내측 전도성 라인 (3602b) 은 연결 세그먼트 (3604b) 를 통해 상부 외측 전도성 라인 (3606b) 에 연결되고, 상부 외측 전도성 라인 (3606b) 은 연결 세그먼트 (3608b) 를 통해 하부 내측 전도성 라인 (3610b) 에 연결되고, 하부 내측 전도성 라인 (3610b) 은 부가적인 연결 세그먼트 (미도시, 연결 세그먼트 (3604b) 바로 아래) 를 통해 하부 외측 전도성 라인 (3614b) 에 연결된다.
일부 구현 예들에서, 웨이퍼는 약 12 인치 (약 30 ㎝) 의 직경 또는 약 6 인치 (약 15 ㎝) 의 반경 R1을 갖는다. 이에 따라, 직선 전도성 라인들은 실질적으로 웨이퍼 위에 RF 안테나의 감김들이 있지 않도록 웨이퍼의 직경으로 또는 웨이퍼의 직경을 지나 연장할 수도 있다. 일부 구현 예들에서, 연결 세그먼트들은 약 14 인치 (약 35 ㎝) 의 직경 또는 약 7 인치 (약 17.5 ㎝) 의 반경 R2로 구성된다.
예시된 구현 예에서, 상부 내측 전도성 라인들 (3602a 및 3602b) 은 RF 소스 (3620) 에 연결되고, 따라서 안테나 구조체의 RF 피드 측에 있다. 하부 외측 전도성 라인들 (3614a 및 3614b) 은 종단 캡 (3622) 에 연결되고, 따라서 안테나 구조체의 접지/복귀 측면에 있다. 예시된 구현 예에서, 상부 내측 전도성 라인들 (3602a 및 3602b) 의 단부들, 및 하부 외측 전도성 라인들 (3614a 및 3614b) 의 단부들은 RF 소스 (3620) 및 종단 캡 (3622) 에 대한 연결 지점들을 제공하도록 전도성 라인들의 수평 평면들로부터 수직으로 상향으로 감긴다.
RF 안테나 (3600) 에서 루프된 단부들 (턴어라운드 세그먼트들) 이 일부 변압기 효과를 유발할 수도 있다는 것이 인식된다. 따라서, 일부 구현 예들에서, 이 효과는 단부 루프들을 비스듬히 (예를 들어, 대략 90° 각도로) 구부림으로써 감소될 수 있다. 이는 또한 챔버 내로의 엔드 루프들의 전류 유도를 감소시킬 것이다. 따라서, 일부 구현 예들에서, RF 안테나 (3600) 는 상승된 단부 루프 커넥터들에 의해 직렬로 연결된 전도성 라인들을 포함한다. 예를 들어, 전도성 라인들 (3602a, 3606a, 3610a, 및 3614a) 은 커넥터들 (3604a, 3608a) 및 커넥터 (3604a) 아래에 부가적인 커넥터 (미도시) 에 의해 직렬로 연결된다. 커넥터들은 전도성 라인들이 배향되는 수평 평면(들)에 실질적으로 수직인 평면을 따라 배향된 커브된 세그먼트로서 구성될 수 있다. 이러한 커넥터들은 전도성 라인들의 평면으로부터 상향으로 이동하고, 이어서 전도성 라인들의 평면으로 다시 하향 이동하는, 일 전도성 라인으로부터 다른 전도성 라인으로의 전류 경로를 규정할 수 있다. 유사하게, 전도성 라인들 (3602b, 3606b, 3610b, 및 3614b) 은 커넥터들 (3604b, 3608b) 및 커넥터 (3604b) 아래의 부가적인 커넥터 (미도시) 에 의해 직렬로 연결되고, 커넥터들은 상기 기술된 바와 같이 유사하게 구성되고 전류 경로를 규정할 수 있다.
이러한 커넥터들 및 전도성 라인들은 다양한 라인들 사이에서 미리 규정된 분리를 유지하도록 구성될 수 있다는 것이 이해될 것이다. 예를 들어, 상부 전도성 라인들 (3602a 및 3606a) 은 상승된 커넥터 (3604a) 가 형성되는 특정한 길이들로 (예를 들어, 웨이퍼 에지를 지나) 연장할 수도 있다. 한편, 상부 전도성 라인들 (3602a 및 3606a) 아래에 있는 하부 전도성 라인들 (3610a 및 3614a) 은 하부 전도성 라인들을 연결하는 상승된 커넥터가 형성되는 전도성 라인들 (3602a 및 3606a) 의 길이들을 넘어 연장할 수도 있다. 이러한 방식으로, RF 안테나의 상부 데크 및 하부 데크 모두에 대해 상승된 단부 루프 구조를 수용하는 동안 라인들 사이의 미리 결정된 간격이 유지될 수 있다.
다른 구현 예들에서, RF 안테나 (3600) 의 측면 각각은 상부 내측 전도성 라인들 (3602a 및 3602b) 이 분리된 RF 소스들에 의해 전력 공급되도록 독립적으로 전력 공급된다. 일부 구현 예들에서, RF 안테나 (3600) 의 측면 각각은 하부 외측 전도성 라인들 (3614a 및 3614b) 이 분리된 종단 캡들에 연결되도록 독립적으로 종단된다.
도 36d는 본 개시의 구현 예들에 따른, 유전체 윈도우 (3510) 및 RF 안테나 (3600) 의 일부의 단면도를 개념적으로 예시한다. 내측 전도성 라인 (3602a 및 3602b) 은 도시된 바와 같은 피치 간격 S1으로 수평으로 분리되고, 이는 RF 안테나 (3502) 에 대하여 전술한 바와 동일할 수 있다. 내측 전도성 라인 (3602a 및 3602b) 은 내측 전도성 라인 (3610a 및 3610b) 의 바로 위에 수직 적층되기 때문에, 동일한 피치 간격 S1이 내측 전도성 라인 (3610a 및 3610b) 에 적용된다. 내측 전도성 라인 (3602a) 은 외측 전도성 라인 (3606b) 으로부터 피치 간격 S2으로 분리되고, 이는 RF 안테나 (3502) 에 대하여 전술한 바와 동일할 수 있다. 동일한 피치 간격 S2는 또한 외측 전도성 라인 (3606b) 으로부터 내측 전도성 라인 (3602b) 의 분리, 뿐만 아니라 외측 전도성 라인 (3614a) 으로부터 내측 전도성 라인 (3610a) 의 분리, 및 외측 전도성 라인 (3614b) 으로부터 내측 전도성 라인 (3610b) 의 분리에 적용된다.
도시된 바와 같이, 유전체 윈도우 (3510) 는 두께 H1을 갖는다. 일부 구현 예들에서, 두께 H1은 약 0.25 내지 1.5 인치 (약 0.6 내지 3.8 ㎝) 의 범위이고; 일부 구현 예들에서, H1은 약 0.5 내지 1 인치 (약 1.3 내지 2.5 ㎝) 의 범위이고; 일부 구현 예들에서, H1은 약 0.75 인치 (약 2 ㎝) 이다. 일부 구현 예들에서 유전체 윈도우 (3510) 의 하단부로부터 전도성 라인들의 하부 세트 (3610a, 3610b, 3614a, 및 3614b) 까지의 수직 거리 H2는 약 0.5 내지 2 인치 (약 1 내지 5 ㎝) 의 범위일 수 있다. 일부 구현 예들에서, 전도성 라인들의 하부 세트는 유전체 윈도우 (3510) 의 상단부로부터 수직 거리 H3만큼 수직으로 분리된다. 일부 구현 예들에서, H3은 약 0 (즉, 분리 없음, 유전체 윈도우와 접촉하는 전도성 라인들 없이) 내지 0.5 인치 (약 0 내지 1.2 ㎝) 의 범위이고; 일부 구현 예들에서, H3은 약 0 내지 0.25 인치 (약 0 내지 0.6 ㎝) 의 범위이고; 일부 구현 예들에서, H3은 약 0.25 인치 (약 0.6 ㎝) 이다. 일반적으로, 전도성 라인들이 유전체 윈도우에 가까울수록, 챔버 내로의 유도 결합이 보다 우수하지만, 또한 유전체 윈도우의 스퍼터링이 보다 커진다.
상부 전도성 라인 및 하부 전도성 라인은 수직 거리 H4만큼 수직으로 분리된다. 일부 구현 예들에서, H4는 약 1/8 내지 1 인치 (약 0.3 내지 2.5 ㎝) 의 범위이고; 일부 구현 예들에서, H4는 약 0.25 내지 0.75 인치 (약 0.6 내지 2 ㎝) 의 범위이고; 일부 구현 예들에서, H4는 약 0.5 인치 (약 1.3 ㎝) 이다. 일반적으로 말하면, 전도성 라인들 사이의 수직 간격이 보다 가까울수록 보다 큰 유도를 제공하지만 또한 아크 발생의 위험을 증가시킨다.
RF 안테나 (3600) 의 더블 스택 구성은 (예를 들어, RF 안테나 (3502) 에 의해 입증된 바와 같이) 단일 레벨 구성에 비해 RF 안테나에 전력을 공급하는 용이성 및 플라즈마 생성을 위한 유도 효율성의 면에서 이점들을 제공한다. RF 안테나 (3502) 의 단일 레벨 구성을 위해, RF 안테나 (3502) 의 양 측면들을 구동하기 위해 고 전류가 필요하다. 일 가능한 솔루션은 RF 안테나의 측면 각각을 구동하기 위해 별도의 생성기를 사용하는 것이다. 또 다른 가능성은 고 주파수 (예를 들어, 약 40 ㎒) 에서 전력을 인가하는 것이다. 그러나, 부가적인 생성기를 사용하거나 고 주파수를 인가하는 것은 부가적인 그리고/또는 고가의 하드웨어를 필요로 하고, 비용을 상승시킨다.
본 구현 예의 더블 스택 구성은 RF 안테나의 인덕턴스를 상승시킴으로써 이들 문제들을 해결하고, 따라서 전압을 상승시키는 동안 전류를 감소시킨다. 유도 결합 플라즈마 시스템들에서 (예를 들어, 전력을 낭비하는 유도 가열을 통한) 전력 손실은 전류의 제곱에 비례한다 (I2R을 따른다). 따라서, 전력을 두 개의 절반들로 분할하는 RF 안테나 (3502) 의 단일 레벨 구성에 의해 요구되는 바와 같이, 전류를 두 배로 하는 것은 네 배의 손실을 발생시킨다. 그러나 RF 안테나 (3600) 의 더블 스택 구성을 채용함으로써, 단일 레벨 RF 안테나 (3502) 의 절반의 전류로 구동하는 것을 인에이블하도록 RF 안테나 (3600) 의 인덕턴스를 상승시키는 것이 가능하다. 이는 RF 안테나 (3600) 에 대해 전력 손실의 4 배 감소 (75 %만큼 감소됨) 를 생성하고, 플라즈마를 생성하기 위해 전력의 보다 우수한 분배 및 프로세스 챔버 내로의 보다 효율적인 전력의 유도성 커플링을 제공한다.
RF 안테나 (3502) 의 단일 레벨 구성으로, 전류는 보다 높지만, 전압은 보다 낮고, 따라서 용량성 커플링의 위험이 감소된다. 그러나, 플라즈마 점화를 위해 일부 용량성 커플링을 달성하는 것이 바람직하다. 따라서, 플라즈마를 점화시키기에 충분한 전압이 추구되는 한편, 보다 효율적인 유도성 전력 커플링을 제공하기 위해 일단 실행되면 보다 적은 전압이 추구된다. RF 안테나 (3600) 의 더블 스택 구성은 또한 RF 안테나의 하단 레벨이 상부 레벨로부터 고 전압을 차폐할 수 있기 때문에 이 문제에 대한 해결책들을 제공할 수 있다. 종단 커패시턴스는 플라즈마를 점화시키기에 충분한 전압이 있도록 튜닝될 수 있지만, 일단 정상 상태 하에서 실행되면, 상부 레벨은 보다 높은 전압이고, 보다 낮은 레벨은 보다 낮은 전압이다. RF 안테나의 감김 각각은 전압 디바이더와 같고, 따라서 상단부 대 하단부에서 두 배의 전압이 있을 수도 있고, 이는 고 전압이 유전체 윈도우 (3610) 로부터 떨어져 유지되게 한다. 유전체 윈도우 근방의 고 전압은 유전체 윈도우를 스퍼터링할 수도 있고, 따라서 유전체 윈도우로부터 고 전압을 멀리 유지하는 것이 바람직하다.
도 37a, 도 37b, 도 37c 및 도 37d는 본 개시의 구현 예들에 따른, RF 안테나에 전력을 공급하고 종료하는 다양한 구성들을 개략적으로 예시한다. 도 37a는 단일 RF 소스 (3620) 에 의해 전력 공급되고, 단일 종단 캡 (3622) 에 의해 종단되는 RF 안테나 (3600) 를 예시한다. 예시된 구현 예에서, RF 안테나 (3600) 는 매칭부 (3702) 에 커플링된 생성기 (3700) (예를 들어, 오실레이터) 를 포함하는, RF 소스 (3620) 로부터 전력을 분할하는 인덕터들의 쌍으로서 개략적으로 표현된다. 다운스트림 측에서, RF 안테나 (3600) 의 두 인덕터들은 접지에 커플링된 가변 커패시터를 포함하는 종단 캡 (3622) 으로 종단된다.
도 37b는 절반 각각이 별도의 RF 소스에 의해 전력 공급되는 RF 안테나 (3600) 를 예시한다. 보다 구체적으로, RF 안테나 (3600) 의 일 코일 (일 인덕터) 은 매칭부 (3714) 에 커플링된 생성기 (3712) 를 포함하는 RF 소스 (3710) 에 의해 전력 공급된다. RF 안테나 (3600) 의 다른 코일은 매칭부 (3720) 에 커플링된 생성기 (3718) 를 포함하는 RF 소스 (3716) 에 의해 전력 공급된다. 예시된 구현 예에서, 두 코일들은 접지에 커플링된 가변 커패시터를 포함하는 동일한 종단 캡 (3622) 에 연결된다. 별도의 RF 소스들은 RF 안테나 (3600) 의 2 개의 측면들을 통해 목표된 전력 분배를 제공하도록 개별적으로 튜닝될 수 있다는 것이 이해될 것이다.
도 37c는 단일 RF 소스 (3620) 에 의해 전력 공급되고 별도의 종단 캡들 (3720 및 3722) 에 의해 종단되는 RF 안테나 (3600) 를 예시한다. 종단 캡 각각은 접지에 커플링된 가변 커패시터를 포함한다. 종단 캡 각각은 RF 안테나 (3600) 의 2 개의 인덕터 절반들을 통해 전압/전류의 밸런싱된 분배를 제공하도록 조정/튜닝될 수 있다는 것이 이해될 것이다.
도 37d는 별도의 RF 소스들 (3710 및 3716) 에 의해 전력 공급되고, 별도의 종단 캡들 (3720 및 3722) 에 의해 종단되는 RF 안테나 (3600) 를 예시한다. 이 구성에서, RF 안테나 (3600) 의 인덕터 절반 각각의 업스트림 및 다운스트림 측면 모두는 최적의 전력 분배를 제공하도록 튜닝될 수 있다.
안테나에 인가된 RF 전력은 목표된 상태로 튜닝될 수 있다는 것이 이해될 것이다. 예를 들어, 일부 구현 예들에서, RF 전력은 용량성 커플링을 최소화하도록 (따라서 유전체 윈도우의 스퍼터링을 최소화하기 위해) 밸런싱된 조건 하에서 실행을 달성하도록 구성된다. 이러한 상태에서, RF 전력은 노드 (제로-전압 조건) 가 유전체 윈도우에 가장 가까운 코일의 감김 근방의 대략 1/2에 존재하도록 튜닝된다. 도 35a 내지 도 35c를 참조하여 기술된 바와 같은 단일 스택 설계의 경우, RF 전력은 노드들이 연결 부분들 (3508a 및 3508b) 을 따라 존재하도록 튜닝될 것이다. 도 36a 내지 도 36c를 참조하여 기술된 바와 같은 더블 스택 설계의 경우, RF 전력은 노드들이 (상기 주지된 바와 같이) 연결 세그먼트들 (3604a 및 3604b) 아래에 있는 연결 세그먼트들을 따라 존재하도록 튜닝될 것이다. 용량성 커플링이 최소화될 때, 플라즈마 점화가 보다 어려워질 수도 있다는 것을 주의한다. 따라서 일부 구현 예들에서 RF 전력은 목표된 조건들 하에서 플라즈마 점화를 달성하기 충분한 양의 용량성 커플링을 허용하도록 튜닝될 수도 있다.
본 개시의 구현 예들에 따른, 다양한 종류의 단면 형상들을 갖도록 안테나 라인들이 형성될 수 있다는 것이 이해될 것이다. 다양한 세그먼트들이 기술되었지만, RF 안테나 (3600) 의 절반 각각은 목표된 안테나 형상 (예를 들어, 더블 루프 구조를 갖는 더블 스택된 형상) 을 갖도록 구부러지고 (bent)/형성되는 단일의 연속적인 길이의 전도성 재료로부터 형성될 수 있다는 것이 인식될 것이다. 도 38a는 연속적인 구부러진 시트/스트립으로서 형성된, RF 안테나 (3600) 의 절반들/측면들 중 하나와 같은 RF 안테나의 일부를 예시한다. 예시된 구현 예에서, 부분들은 단면 형상이 수직으로 배향된 (폭보다 큰 높이) 실질적으로 직사각형 형상 이도록 목표된 형상으로 구부러진 전도성 재료의 단일 스트립으로 구성된다.
도 38b는 본 개시의 구현 예들에 따른, 연속적인 구부러진 튜브로서 형성된 RF 안테나의 일부를 예시한다.
도 38c는 본 개시의 구현 예들에 따른, 복수의 직선 튜브형 피팅들 및 복수의 커브된 튜브형 피팅들과 같은 복수의 튜브형 피팅들로부터 형성된 RF 안테나의 일부를 예시한다.
도 39a, 도 39b 및 도 39c는 본 개시의 구현 예들에 따른, 측면들로부터 전력 공급되는 RF 안테나의 부감도, 절단 사시도, 및 측면 단면도를 예시한다. 예시된 구현 예에서, RF 안테나 (3900) 는 안테나 부분 각각이, 웨이퍼 위에서 그리고 웨이퍼 영역의 에지들로 연장하는, 평행하고 동일한 수평면을 따라 배향된 내측 및 외측 전도성 라인들을 포함하여, 2 개의 부분들/측면들/절반들 (3902a 및 3902b) 을 포함하는, 상기 기술된 RF 안테나 (3502) 와 구조가 유사하다. 그러나, RF 안테나 (3900) 에서, 외측 전도성 라인 각각은 중간을 관통하여 연속적이지 않고, 실제로 RF 소스 및 종단 캡/접지에 각각 연결된 2 개의 세그먼트들로 구성된다. 이들 세그먼트들의 외측 단부들은 커넥터들을 통해 인접한 내측 전도성 라인에 연결되고, 이에 따라 (RF 소스로부터 종단 캡으로) 루프를 형성한다. 따라서, RF 소스로부터의 전류 흐름은 측면으로부터 시작되어, 측면 커넥터를 통해 외측 전도성 라인의 세그먼트들 중 하나로, 커넥터를 통해 내측 전도성 라인으로, 또 다른 커넥터를 통해 외측 전도성 라인의 다른 세그먼트, 그리고 또 다른 측면 커넥터를 통해 종단 캡으로 진행한다. 절반 각각이 다양한 세그먼트들로 구성된 것으로 기술되었지만, RF 안테나 (3900) 의 절반 각각은 튜브 또는 시트/스트립과 같은 단일 길이의 전도성 재료로부터 형성될 수 있다는 것이 이해될 것이다.
도시되고 기술된 RF 안테나 (3900) 가 단일 레벨 구조로 구성되지만, 다른 구현 예들에서, RF 안테나 (3900) 는 상기 기술된 RF 안테나 (3600) 와 유사한 더블 스택 구조를 가질 수 있고, 절반 각각은 측면으로부터 전력 공급/접지되는 동안, 서로 바로 위/아래에 있는 상부 전도성 라인들 및 하부 전도성 라인들을 갖는 더블 루프 구조체로서 형성된다.
도 40은 본 개시의 구현 예들에 따른, 커브된 외측 전도성 라인들을 갖는 RF 안테나의 부감도를 개념적으로 예시한다. 예시된 구현 예에서, RF 안테나 (4000) 는 내측 전도성 라인들 (4002a 및 4002b), 및 외측 전도성 라인들 (4004a 및 4004b) 을 포함한다. 내측 전도성 라인들 (4002a 및 4002b) 은 실질적으로 직선인 한편, 외측 전도성 라인들 (4004a 및 4004b) 은 수평 평면을 따라 외측으로 실질적으로 커브된다. 일부 구현 예들에서, RF 안테나 (4000) 는 수직으로 정렬된 2 개의 루프들을 포함하는 더블 스택 구성을 가질 수 있다.
도 41은 본 개시의 구현 예들에 따른, 커브된 내측 전도성 라인 및 외측 전도성 라인을 갖는 RF 안테나의 부감도를 개념적으로 예시한다. 예시된 구현 예에서, RF 안테나 (4100) 는 내측 전도성 라인들 (4102a 및 4102b), 및 외측 전도성 라인들 (4104a 및 4104b) 을 포함한다. 내측 전도성 라인들 (4102a 및 4102b) 뿐만 아니라 외측 전도성 라인들 (4104a 및 4104b) 은 수평 평면을 따라 실질적으로 외측으로 커브된다. 일부 구현 예들에서, RF 안테나 (4100) 는 수직으로 정렬된 2 개의 루프들을 포함하는 더블 스택 구성을 가질 수 있다.
도 42는 본 개시의 구현 예들에 따른, 조정 가능한 라인 간격을 갖는 RF 안테나의 부감도를 개념적으로 예시한다. 도시된 바와 같이, RF 안테나 (4200) 는 내측 전도성 라인들 (4202a 및 4202b), 뿐만 아니라 외측 전도성 라인들 (4204a 및 4204b) 을 포함한다. 내측 및 외측 전도성 라인들은 라인들 사이의 간격이 조정 가능하도록 수평으로 이동 가능하게 구성된다. 일부 구현 예들에서, 조정 메커니즘이 전도성 라인들의 수평 이동을 인에이블하도록 전도성 라인들의 각각의 단부에 제공된다. 예시된 구현 예에서, 조정기들 (4206a 및 4206b) 은 전도성 라인 (4202a) 의 수평 조정을 인에이블하도록 구성되고; 조정기들 (4208a 및 4208b) 은 전도성 라인 (4202b) 의 수평 조정을 인에이블하도록 구성되고; 조정기들 (4210a 및 4210b) 은 전도성 라인 (4204a) 의 수평 조정을 인에이블하도록 구성되고; 조정기들 (4212a 및 4212b) 은 전도성 라인 (4204b) 의 수평 조정을 인에이블하도록 구성된다.
조정기들은 전도성 라인들을 이동시키기 위한 이동 메커니즘들 (예를 들어, 전기 모터들, 스텝퍼 모터들, 서보들, 등) 을 포함할 수 있다. 또한, 조정기들은 전도성 라인들의 이동을 수용하기 위해, 케이블링 또는 가요성 피팅들 (fittings) 과 같은 가요성 전도성 재료들을 포함할 수 있다. 이는 또한 전도성 라인들이 상이한 위치들로 이동될 때 안테나의 RF 경로 길이가 실질적으로 변화하지 않는다는 것을 보장할 수도 있다.
전도성 라인들이 이동됨에 따라, 전도성 라인들 사이의 간격이 변화한다는 것이 이해될 것이다. 따라서, 내측 전도성 라인 (4202a) 과 외측 전도성 라인 (4204a) 사이, 그리고 내측 전도성 라인 (4202b) 과 외측 전도성 라인 (4204b) 사이의 간격 S2과 같이, 내부 전도성 라인 (4202a와 4202b) 사이의 간격 S1은 조정 가능하다. 일부 구현 예들에서, 전도성 라인들의 레이아웃을 조정함으로써, 내측 및 외측 전도성 라인들 (4202a 및 4204a) 사이의 간격은 내측 및 외측 전도성 라인들 (4202b 및 4204b) 사이의 간격과 상이할 수 있다. 전도성 라인들의 포지셔닝의 조정을 인에이블함으로써, 주어진 프로세스들에 대한 최적의 플라즈마 생성 및 프로세스 성능을 달성하도록 라인들의 간격을 조정/튜닝하는 것이 가능하다.
도 43은 본 개시의 구현 예들을 구현하기 위한 컴퓨터 시스템의 간략화된 개략도이다. 본 명세서에 기술된 방법들은 종래의 범용 컴퓨터 시스템과 같은 디지털 프로세싱 시스템을 사용하여 수행될 수도 있다는 것이 이해되어야 한다. 하나의 기능만을 수행하도록 설계되거나 프로그래밍된 특수 목적 컴퓨터들이 대안으로 사용될 수도 있다. 컴퓨터 시스템 (4300) 은 버스 (4310) 를 통해 RAM (4328), ROM (read-only memory), 및 대용량 저장 장치 (4314) 에 커플링되는 CPU (central processing unit) (4304) 를 포함한다. 시스템 제어기 프로그램 (4308) 은 RAM (4328) 에 상주하지만, 또한 대용량 저장 장치 (4314) 에 상주할 수 있다.
대용량 저장 장치 (4314) 는 로컬 또는 원격일 수도 있는, 플로피 디스크 드라이브 또는 고정 디스크 드라이브와 같은 영구 데이터 저장 디바이스를 나타낸다. 네트워크 인터페이스 (4330) 는 다른 디바이스들과의 통신을 가능하게 하는, 네트워크 (4322) 를 통한 연결들을 제공한다. CPU (4304) 는 범용 프로세서, 특수 목적 프로세서, 또는 특수하게 프로그래밍된 로직 디바이스로 구현될 수도 있다는 것이 인식되어야 한다. 입력/출력 (I/O) 인터페이스 (4320) 는 상이한 주변기기들과의 통신을 제공하고 버스 (4310) 를 통해 CPU (4304), RAM (4328), ROM (4312), 및 대용량 저장 장치 (4314) 와 연결된다. 샘플 주변기기들은 디스플레이 (4318), 키보드 (4322), 커서 제어 (4324), 이동식 매체 디바이스 (4334), 등을 포함한다.
디스플레이 (4318) 는 본 명세서에 기술된 사용자 인터페이스들을 디스플레이하도록 구성된다. 키보드 (4322), 커서 제어 (마우스) (4324), 이동식 매체 디바이스 (4334), 및 다른 주변기기들은 명령 선택들의 정보를 CPU (4304) 로 전달하도록 I/O 인터페이스 (4320) 에 커플링된다. 외부 디바이스들로 그리고 외부 디바이스들로부터 데이터가 I/O 인터페이스 (4320) 를 통해 전달될 수도 있다는 것이 인식되어야 한다. 구현 예들은 또한 유선 또는 무선 네트워크를 통해 링크된 리모트 프로세싱 디바이스들에 의해 태스크들이 수행되는 분산 컴퓨팅 환경들에서 실시될 수 있다.
구현 예들은 휴대용 디바이스들, 마이크로 프로세서 시스템들, 마이크로 프로세서-기반 또는 프로그램 가능 가전 제품들, 미니 컴퓨터들, 메인 프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 구현 예들은 또한 네트워크를 통해 링크된 리모트 프로세싱 디바이스들에 의해 태스크들이 수행되는 분산 컴퓨팅 환경들에서 실시될 수 있다.
상기 구현 예들을 염두에 두고, 구현 예들은 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현 동작들을 채용한다는 것이 이해되어야 한다. 이들 동작들은 물리량들의 물리적인 조작을 필요로 한다. 구현 예들의 일부를 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다. 구현 예들은 또한 이들 동작들을 수행하기 위한 디바이스 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터와 같은 필요한 목적을 위해 특별히 구성될 수도 있다. 특수 목적 컴퓨터로서 규정될 때, 컴퓨터는 여전히 특수 목적을 위해 동작할 수 있으면서, 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 또한 수행할 수 있다. 대안적으로, 동작들은 컴퓨터 메모리, 캐시에 저장된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성되거나 네트워크를 통해 획득되는 범용 컴퓨터에 의해 프로세싱될 수도 있다. 데이터가 네트워크를 통해 획득될 때, 데이터는 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
하나 이상의 구현 예들은 또한 컴퓨터 판독 가능 매체 상의 컴퓨터 판독 가능 코드로서 제조될 수 있다. 컴퓨터 판독 가능 매체는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이고, 그 후에 컴퓨터 시스템에 의해 판독될 수 있다. 컴퓨터 판독 가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), ROM, RAM, CD-ROM들 (compact disc-ROMs), CD-R들 (CD-recordables), CD-RW들 (CD-rewritables), 자기 테이프들 및 다른 광학 및 비광학 데이터 저장 디바이스들을 포함한다. 컴퓨터 판독 가능 매체는 컴퓨터 판독 가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터 판독 가능 유형의 (tangible) 매체를 포함할 수 있다.
방법 동작들이 특정한 순서로 기술되었지만, 다른 하우스 키핑 동작들이 동작들 사이에 수행될 수도 있고, 또는 동작들이 약간 상이한 시간들에 발생하도록 조정될 수도 있고, 또는 오버레이 동작들의 프로세싱이 목표된 방식으로 수행되는 한, 프로세싱과 연관된 다양한 인터벌들로 프로세싱 동작들의 발생을 허용하는 시스템에서 분산될 수도 있다는 것이 이해되어야 한다.
따라서, 예시적인 구현 예들의 개시는 이하의 청구항들 및 이들의 등가물들에 제시된 개시들의 범위를 제한하는 것이 아니라 예시적인 것으로 의도된다. 본 개시의 예시적인 구현 예들이 이해의 명료성을 위해 일부 상세히 기술되었지만, 특정한 변화들 및 수정들이 이하의 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 이하의 청구항들에서, 엘리먼트들 및/또는 단계들은 청구항들에 명시적으로 언급되거나 본 개시에 의해 암시적으로 요구되지 않는 한, 임의의 특정한 동작 순서를 암시하지 않는다.

Claims (28)

  1. 전력 공급될 (powered) 때, 챔버의 프로세스 영역에서 플라즈마를 유도성으로 생성하도록 구성된 RF 안테나에 있어서,
    평면을 따라 배향되고, 제 1 전도성 라인, 제 2 전도성 라인, 제 3 전도성 라인, 및 제 4 전도성 라인을 포함하는, 평행한 전도성 라인들의 어레이를 포함하고,
    상기 제 1 전도성 라인 및 상기 제 2 전도성 라인은 인접하고, 상기 제 2 전도성 라인 및 상기 제 3 전도성 라인은 인접하고, 그리고 상기 제 3 전도성 라인 및 상기 제 4 전도성 라인은 인접하고;
    상기 RF 안테나가 전력 공급될 때, 인접한 상기 제 1 전도성 라인 및 상기 제 2 전도성 라인의 전류 흐름은 반대 방향으로 발생하고, 인접한 상기 제 2 전도성 라인 및 상기 제 3 전도성 라인의 전류 흐름은 동일한 방향으로 발생하고, 인접한 상기 제 3 전도성 라인 및 상기 제 4 전도성 라인의 전류 흐름은 반대 방향으로 발생하는, RF 안테나.
  2. 제 1 항에 있어서,
    상기 RF 안테나는 서로 직렬로 연결된 상기 제 1 전도성 라인 및 상기 제 2 전도성 라인을 포함하는 제 1 세그먼트를 포함하고;
    상기 RF 안테나는 서로 직렬로 연결된 상기 제 3 전도성 라인 및 상기 제 4 전도성 라인을 포함하는 제 2 세그먼트를 포함하는, RF 안테나.
  3. 제 2 항에 있어서,
    상기 제 1 세그먼트의 전도성 라인들은 상기 제 2 세그먼트의 전도성 라인들에 연결되지 않도록 상기 제 1 세그먼트는 상기 제 2 세그먼트에 연결되지 않는, RF 안테나.
  4. 제 2 항에 있어서,
    상기 제 1 세그먼트 및 상기 제 2 세그먼트 각각은 RF 전력을 수신하도록 구성된 제 1 단부 및 접지에 연결되도록 구성된 제 2 단부를 포함하는, RF 안테나.
  5. 제 2 항에 있어서,
    미리 결정된 세그먼트의 인접한 전도성 라인들은 단부 커넥터에 의해 직렬로 서로 연결되는, RF 안테나.
  6. 제 5 항에 있어서,
    상기 단부 커넥터는 상기 미리 결정된 세그먼트의 상기 인접한 전도성 라인들 사이에서 전류 흐름을 인에이블함으로써 전류 흐름의 방향의 변화를 생성하도록 구성되는, RF 안테나.
  7. 제 6 항에 있어서,
    상기 미리 결정된 세그먼트 각각은 상기 단부 커넥터 및 상기 미리 결정된 세그먼트의 상기 인접한 전도성 라인들을 포함하는 단일 피스 (piece) 로서 형성된 통합 (unitary) 구조를 갖는, RF 안테나.
  8. 제 1 항에 있어서,
    반대 방향들로 발생하는 인접한 상기 제 1 전도성 라인 및 상기 제 2 전도성 라인의 상기 전류 흐름은 제 1 국부 역전류 유도 어레이를 규정하고;
    반대 방향들로 발생하는 인접한 상기 제 3 전도성 라인 및 상기 제 4 전도성 라인의 상기 전류 흐름은 제 2 국부 역전류 유도 어레이를 규정하는, RF 안테나.
  9. 제 1 항에 있어서,
    상기 전도성 라인들은 상기 챔버 내에 존재한다면 기판의 표면을 커버하는 영역을 점유하도록 구성되는, RF 안테나.
  10. 제 9 항에 있어서,
    상기 전도성 라인들에 의해 점유된 상기 영역은 실질적으로 원형 영역인, RF 안테나.
  11. 제 1 항에 있어서,
    상기 전도성 라인들은 실질적으로 직선인, RF 안테나.
  12. 제 1 항에 있어서,
    상기 전도성 라인들은 대략 0.1 내지 1 인치 범위의 수직 두께를 갖는, RF 안테나.
  13. 제 1 항에 있어서,
    상기 전도성 라인들은 대략 0.1 내지 0.5 인치 범위의 수평 폭을 갖는, RF 안테나.
  14. 제 1 항에 있어서,
    상기 제 1 전도성 라인 및 상기 제 2 전도성 라인은 대략 2 내지 3 인치로 이격되고, 상기 제 2 전도성 라인 및 상기 제 3 전도성 라인은 대략 3 내지 4 인치로 이격되고, 그리고 상기 제 3 전도성 라인 및 상기 제 4 전도성 라인은 대략 2 내지 3 인치로 이격되는, RF 안테나.
  15. 유도 플라즈마 챔버에서 사용하기 위한 무선 주파수 (RF) 안테나에 있어서,
    전력 공급된 단부 및 접지된 단부를 갖는 제 1 헤어핀-형상 전도성 라인;
    전력 공급된 단부 및 접지된 단부를 갖는 제 2 헤어핀-형상 전도성 라인을 포함하고,
    RF 전력 소스는 상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 상기 전력 공급된 단부에 연결 가능하고 상기 접지된 단부들은 접지에 연결 가능하고;
    상기 RF 안테나가 상기 챔버의 프로세싱 영역 위에 배치되게 구성되도록, 상기 제 1 헤어핀-형상 전도성 라인은 상기 제 2 헤어핀-형상 전도성 라인에 인접하고 평행한 배향으로 배치되는, RF 안테나.
  16. 제 15 항에 있어서,
    상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 상기 전력 공급된 단부들 및 상기 접지된 단부들은 상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 RF 전력 소스에 연결되고, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 인접한 세그먼트들의 상기 전류는 동일한 방향 또는 반대 방향으로 흐르는, RF 안테나.
  17. 제 15 항에 있어서,
    상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 상기 전력 공급된 단부들 및 상기 접지된 단부들은 상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 RF 전력 소스에 연결되고, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들의 상기 전류는 동일한 방향으로 흐르는, RF 안테나.
  18. 제 17 항에 있어서,
    상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 상기 내측 인접한 세그먼트들에 반대되는 세그먼트들은 동일한 방향으로 상기 전류를 흘리는, RF 안테나.
  19. 제 15 항에 있어서,
    상기 제 1 헤어핀-형상 전도성 라인은 약 5 내지 8 ㎝ (약 2 내지 3 인치) 만큼 이격된 제 1 쌍의 평행한 세그먼트들을 규정하고;
    상기 제 2 헤어핀-형상 전도성 라인은 약 5 내지 8 ㎝ (약 2 내지 3 인치) 만큼 이격된 제 2 쌍의 평행한 세그먼트들을 규정하는, RF 안테나.
  20. 제 19 항에 있어서,
    상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들은 약 7 내지 10 ㎝ (약 3 내지 4 인치) 만큼 이격되는, RF 안테나.
  21. 유도 플라즈마 챔버에서 사용하기 위한 무선 주파수 (RF) 안테나에 있어서,
    전력 공급된 단부 및 접지된 단부를 갖는 제 1 헤어핀-형상 전도성 라인;
    전력 공급된 단부 및 접지된 단부를 갖는 제 2 헤어핀-형상 전도성 라인;
    전력 공급된 단부 및 접지된 단부를 갖는 제 3 헤어핀-형상 전도성 라인을 포함하고,
    적어도 하나의 RF 전력 소스는 상기 제 1 헤어핀-형상 전도성 라인, 상기 제 2 헤어핀-형상 전도성 라인, 및 상기 제 3 헤어핀-형상 전도성 라인의 상기 전력 공급된 단부에 연결 가능하고 상기 접지된 단부들은 접지에 연결 가능하고;
    상기 RF 안테나가 상기 챔버의 프로세싱 영역 위에 배치되게 구성되도록, 상기 제 1 헤어핀-형상 전도성 라인은 상기 제 2 헤어핀-형상 전도성 라인에 인접하고 평행한 배향으로 배치되고, 그리고 상기 제 2 헤어핀-형상 전도성 라인은 상기 제 3 헤어핀-형상 전도성 라인에 인접하고 평행한 배향으로 배치되는, RF 안테나.
  22. 제 21 항에 있어서,
    상기 제 1 헤어핀-형상 전도성 라인, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인의 상기 전력 공급된 단부들 및 상기 접지된 단부들은 상기 제 1 헤어핀-형상 전도성 라인, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 적어도 하나의 RF 전력 소스에 연결되고, 상기 적어도 하나의 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 상기 제 1 헤어핀-형상 전도성 라인, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인의 인접한 세그먼트들의 상기 전류는 동일한 방향 또는 반대 방향으로 흐르는, RF 안테나.
  23. 제 21 항에 있어서,
    상기 제 1 헤어핀-형상 전도성 라인, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인의 상기 전력 공급된 단부들 및 상기 접지된 단부들은 상기 제 1 헤어핀-형상 전도성 라인, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 RF 전력 소스에 연결되고, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들의 상기 전류는 동일한 방향으로 흐르고, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들의 상기 전류는 동일한 방향으로 흐르는, RF 안테나.
  24. 제 23 항에 있어서,
    상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 상기 내측 인접한 세그먼트들에 반대되는 세그먼트들은 동일한 방향으로 상기 전류를 흘리고, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인의 상기 내측 인접한 세그먼트들에 반대되는 세그먼트들은 동일한 방향으로 상기 전류를 흘리는, RF 안테나.
  25. 제 21 항에 있어서,
    상기 제 1 헤어핀-형상 전도성 라인, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인의 상기 전력 공급된 단부들 및 상기 접지된 단부들은 상기 제 1 헤어핀-형상 전도성 라인, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인 각각에서 전류를 생성하도록 상기 RF 전력 소스에 연결되고, 상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들의 상기 전류는 반대 방향들로 흐르고, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인의 내측 인접한 세그먼트들의 상기 전류는 반대 방향들로 흐르는, RF 안테나.
  26. 제 25 항에 있어서,
    상기 RF 전력 소스가 상기 전류를 생성하도록 활성일 때, 상기 제 1 헤어핀-형상 전도성 라인 및 상기 제 2 헤어핀-형상 전도성 라인의 상기 내측 인접한 세그먼트들에 반대되는 세그먼트들은 반대 방향들로 상기 전류를 흘리고, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인의 상기 내측 인접한 세그먼트들에 반대되는 세그먼트들은 반대 방향들로 상기 전류를 흘리는, RF 안테나.
  27. 제 21 항에 있어서,
    상기 제 1 헤어핀-형상 전도성 라인은 약 2 내지 8 ㎝ (약 1 내지 3 인치) 만큼 이격된 제 1 쌍의 평행한 세그먼트들을 규정하고;
    상기 제 2 헤어핀-형상 전도성 라인은 약 2 내지 8 ㎝ (약 1 내지 3 인치) 만큼 이격된 제 2 쌍의 평행한 세그먼트들을 규정하고;
    상기 제 3 헤어핀-형상 전도성 라인은 약 2 내지 8 ㎝ (약 1 내지 3 인치) 만큼 이격된 제 3 쌍의 평행한 세그먼트들을 규정하는, RF 안테나.
  28. 제 27 항에 있어서,
    상기 제 1 헤어핀-형상 전도성 라인, 상기 제 2 헤어핀-형상 전도성 라인 및 상기 제 3 헤어핀-형상 전도성 라인의 인접한 세그먼트들은 약 2 내지 8 ㎝ (약 1 내지 3 인치) 만큼 이격되는, RF 안테나.
KR1020217005948A 2018-07-26 2019-07-26 콤팩트한 고밀도 플라즈마 소스 KR20210025707A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862703856P 2018-07-26 2018-07-26
US62/703,856 2018-07-26
US201962832191P 2019-04-10 2019-04-10
US62/832,191 2019-04-10
PCT/US2019/043659 WO2020023874A1 (en) 2018-07-26 2019-07-26 Compact high density plasma source

Publications (1)

Publication Number Publication Date
KR20210025707A true KR20210025707A (ko) 2021-03-09

Family

ID=69181962

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217005948A KR20210025707A (ko) 2018-07-26 2019-07-26 콤팩트한 고밀도 플라즈마 소스

Country Status (5)

Country Link
US (1) US20210183619A1 (ko)
JP (1) JP7359839B2 (ko)
KR (1) KR20210025707A (ko)
TW (1) TW202020925A (ko)
WO (1) WO2020023874A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021170495A (ja) * 2020-04-16 2021-10-28 株式会社イー・エム・ディー 高周波アンテナ及びプラズマ処理装置
WO2023244714A1 (en) * 2022-06-17 2023-12-21 Standard Biotools Canada Inc. Rf coil resonator systems and methods

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5309063A (en) * 1993-03-04 1994-05-03 David Sarnoff Research Center, Inc. Inductive coil for inductively coupled plasma production apparatus
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6474258B2 (en) * 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
KR100732148B1 (ko) 1999-09-09 2007-06-25 이시카와지마-하리마 주고교 가부시키가이샤 내부 전극 방식의 플라즈마 처리 장치 및 플라즈마 처리방법
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
JP5017762B2 (ja) 2001-09-27 2012-09-05 株式会社Ihi 放電装置、プラズマ処理方法
JP3847184B2 (ja) * 2002-03-14 2006-11-15 東京エレクトロン株式会社 プラズマ処理装置
US7481904B2 (en) * 2002-03-18 2009-01-27 Tokyo Electron Limited Plasma device
KR100465907B1 (ko) * 2002-09-26 2005-01-13 학교법인 성균관대학 자장이 인가된 내장형 선형 안테나를 구비하는 대면적처리용 유도 결합 플라즈마 소오스
JP4120546B2 (ja) * 2002-10-04 2008-07-16 株式会社Ihi 薄膜形成方法及び装置並びに太陽電池の製造方法及び装置並びに太陽電池
KR100523851B1 (ko) * 2003-05-07 2005-10-27 학교법인 성균관대학 대면적처리용 내장형 선형안테나를 구비하는 유도결합플라즈마 처리장치
JP2007531235A (ja) * 2004-03-30 2007-11-01 アダプティーブ プラズマ テクノロジー コーポレイション プラズマソースコイル及びこれを用いたプラズマチェンバー
JP2007220594A (ja) * 2006-02-20 2007-08-30 Nissin Electric Co Ltd プラズマ生成方法及びプラズマ生成装置並びにプラズマ処理装置
US10083817B1 (en) * 2006-08-22 2018-09-25 Valery Godyak Linear remote plasma source
CN101543141B (zh) * 2006-11-28 2013-07-17 莎姆克株式会社 等离子处理装置
US7845310B2 (en) 2006-12-06 2010-12-07 Axcelis Technologies, Inc. Wide area radio frequency plasma apparatus for processing multiple substrates
TWI498053B (zh) * 2008-12-23 2015-08-21 Ind Tech Res Inst 電漿激發模組
JP5713354B2 (ja) * 2012-02-07 2015-05-07 株式会社日本製鋼所 プラズマ発生装置
US9472378B2 (en) * 2013-10-04 2016-10-18 Applied Materials, Inc. Multiple zone coil antenna with plural radial lobes
KR101568653B1 (ko) * 2013-11-12 2015-11-12 (주)얼라이드 테크 파인더즈 플라즈마 장치
CN105491780B (zh) * 2014-10-01 2018-03-30 日新电机株式会社 等离子体产生用的天线及具备该天线的等离子体处理装置

Also Published As

Publication number Publication date
TW202020925A (zh) 2020-06-01
JP7359839B2 (ja) 2023-10-11
WO2020023874A1 (en) 2020-01-30
JP2021532548A (ja) 2021-11-25
US20210183619A1 (en) 2021-06-17

Similar Documents

Publication Publication Date Title
US20200357606A1 (en) Plasma processing apparatus and plasma processing method
CN106057624B (zh) 具有用于对称传导和rf传输的垂直支撑杆的室
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
US20200058467A1 (en) Plasma processing apparatus
US20030087488A1 (en) Inductively coupled plasma source for improved process uniformity
US6899787B2 (en) Plasma processing apparatus and plasma processing system with reduced feeding loss, and method for stabilizing the apparatus and system
US9313872B2 (en) Plasma processing apparatus and plasma processing method
JP5554706B2 (ja) プラズマの半径方向不均一性を最小化する誘導性素子のアレイ
KR20110038150A (ko) 필드 강화 유도 결합 플라즈마 반응기
JP2004140363A (ja) 蛇行コイルアンテナを具備した誘導結合プラズマ発生装置
KR102591952B1 (ko) 플라즈마 처리 장치
KR20210025707A (ko) 콤팩트한 고밀도 플라즈마 소스
US20190244825A1 (en) Controlling Azimuthal Uniformity of Etch Process in Plasma Processing Chamber
KR20140100890A (ko) 유도 결합 플라즈마 처리 장치
US20230230804A1 (en) Process control for ion energy delivery using multiple generators and phase control
TW201349943A (zh) 感應耦合電漿處理方法及感應耦合電漿處理裝置
JP2021028961A (ja) 載置台及び基板処理装置
US20230223242A1 (en) Plasma uniformity control using a pulsed magnetic field
JP2016018727A (ja) プラズマ処理装置
KR101039232B1 (ko) 고밀도 플라즈마 발생장치
KR20230107749A (ko) 정적 자기장을 사용한 플라즈마 균일도 제어
WO2023096775A1 (en) Multi-antenna unit for large area inductively coupled plasma processing apparatus
WO2024137370A1 (en) Inductively coupled plasma source with parallel helical rf coils
KR20210102989A (ko) 플라즈마 프로세싱 챔버를 위한 피보나치 코일
WO2024054411A1 (en) Symmetric antenna arrays for high density plasma enhanced process chamber

Legal Events

Date Code Title Description
A201 Request for examination