KR20200009489A - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20200009489A
KR20200009489A KR1020180083916A KR20180083916A KR20200009489A KR 20200009489 A KR20200009489 A KR 20200009489A KR 1020180083916 A KR1020180083916 A KR 1020180083916A KR 20180083916 A KR20180083916 A KR 20180083916A KR 20200009489 A KR20200009489 A KR 20200009489A
Authority
KR
South Korea
Prior art keywords
interlayer insulating
layer
forming
trench
wiring
Prior art date
Application number
KR1020180083916A
Other languages
English (en)
Other versions
KR102601084B1 (ko
Inventor
김문근
박재화
김준관
문효정
박승종
배슬기
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020180083916A priority Critical patent/KR102601084B1/ko
Priority to US16/271,120 priority patent/US10971395B2/en
Priority to CN201910653663.6A priority patent/CN110739266A/zh
Publication of KR20200009489A publication Critical patent/KR20200009489A/ko
Priority to US17/215,365 priority patent/US11557513B2/en
Application granted granted Critical
Publication of KR102601084B1 publication Critical patent/KR102601084B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체 장치의 제조 방법이 제공된다. 반도체 장치의 제조 방법은 기판 상에 제1 금속 배선 및 상기 제1 금속 배선을 감싸는 제1 층간 절연막을 포함하는 제1 배선층을 형성하고, 상기 제1 배선층 상에 상기 제1 금속 배선과 전기적으로 접속되는 제1 비아 및 상기 제1 비아를 감싸는 제2 층간 절연막을 포함하는 제1 비아층을 형성하고, 상기 제1 비아층 상에 상기 제1 비아와 전기적으로 접속되는 제2 금속 배선 및 상기 제2 금속 배선을 감싸는 제3 층간 절연막을 포함하는 제2 배선층을 형성하는 것을 포함하되, 상기 제2 배선층을 형성하는 것은, 중수소(D)를 포함하는 제1 가스 및 수소(H)를 포함하는 제2 가스를 이용하여, 화학적 기상 증착법(chemical vapor deposition, CVD)을 통해 중수소(D)를 포함하는 제3 층간 절연막을 형성하는 것을 포함한다.

Description

반도체 장치의 제조 방법{Method for fabricating semiconductor device}
본 발명은 반도체 장치의 제조 방법에 관한 것이다.
전자기술의 발달로 인해, 최근 반도체 소자의 다운-스케일링(down-scaling)이 급속도로 진행됨에 따라, 반도체 칩의 고집적화 및 저전력화가 요구되고 있다. 이에 따라, 배선 등 회로 구성요소들 사이의 간격은 점차 감소되어, 누설 문제 등이 발생될 수 있다.
반도체 집적회로 에서의 소자 동작 특성 향상을 위해서는 유전막(예를 들어, 게이트 절연막 또는 층간 절연막)에 대한 수소(Hydrogen) passivation 및 유전막의 Leakage 및 막질 특성이 매우 중요하다.
본 발명이 해결하고자 하는 과제는, 수소(H) 및 중수소(D)를 이용한 화학적 기상 증착법(CVD)을 통해 금속 배선을 감싸는 유전막을 형성함으로써 유전막의 막질의 특성이 향상되고, 신뢰성이 향상된 반도체 장치의 제조 방법을 제공하는 것이다.
본 발명이 해결하려는 과제들은 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 해결하기 위한 본 발명의 기술적 사상에 따른 반도체 장치의 제조 방법의 몇몇 실시예는, 기판 상에 제1 금속 배선 및 상기 제1 금속 배선을 감싸는 제1 층간 절연막을 포함하는 제1 배선층을 형성하고, 상기 제1 배선층 상에 상기 제1 금속 배선과 전기적으로 접속되는 제1 비아 및 상기 제1 비아를 감싸는 제2 층간 절연막을 포함하는 제1 비아층을 형성하고, 상기 제1 비아층 상에 상기 제1 비아와 전기적으로 접속되는 제2 금속 배선 및 상기 제2 금속 배선을 감싸는 제3 층간 절연막을 포함하는 제2 배선층을 형성하는 것을 포함하되, 상기 제2 배선층을 형성하는 것은, 중수소(D)를 포함하는 제1 가스 및 수소(H)를 포함하는 제2 가스를 이용하여, 화학적 기상 증착법(chemical vapor deposition, CVD)을 통해 중수소(D)를 포함하는 제3 층간 절연막을 형성하는 것을 포함한다.
상기 과제를 해결하기 위한 본 발명의 기술적 사상에 따른 반도체 장치의 제조 방법의 다른 몇몇 실시예는, 기판 상에 제1 금속 배선 및 상기 제1 금속 배선을 감싸는 제1 층간 절연막을 포함하는 제1 배선층을 형성하고, 상기 제1 배선층 상에 상기 제1 금속 배선과 전기적으로 접속되는 제1 비아, 상기 제1 비아 상에 상기 제1 비아와 전기적으로 접속되는 제2 금속 배선, 상기 제1 비아 및 상기 제2 금속 배선을 감싸는 제2 층간 절연막을 포함하는 제2 배선층을 형성하는 것을 포함하되, 상기 제2 배선층을 형성하는 것은, 중수소(D)를 포함하는 제1 가스를 이용하여, 화학적 기상 증착법(chemical vapor deposition, CVD)을 통해 중수소(D)를 포함하는 상기 제2 층간 절연막을 형성하는 것을 포함한다.
상기 과제를 해결하기 위한 본 발명의 기술적 사상에 따른 반도체 장치의 제조 방법의 또 다른 몇몇 실시예는, 기판 상에 제1 트렌치를 포함하는 제1 층간 절연막을 형성하고, 상기 제1 트렌치를 채우는 제1 금속 배선을 형성하고, 상기 제1 층간 절연막 및 상기 제1 금속 배선을 덮는 제1 식각 정지막을 형성하고, 상기 제1 식각 정지막 상에 상기 제1 식각 정지막을 관통하는 제2 트렌치를 포함하는 제2 층간 절연막을 형성하고, 상기 제2 트렌치를 채우는 제1 비아를 형성하고, 상기 제1 비아 상에 제2 금속 배선을 형성하고, 상기 제2 층간 절연막 상에 상기 제2 금속 배선의 측면 및 상면을 덮도록 제3 층간 절연막을 형성하는 것을 포함하되, 상기 제3 층간 절연막을 형성하는 것은, 중수소(D)를 포함하는 제1 가스 및 수소(H)를 포함하는 제2 가스를 이용하여, 화학적 기상 증착법(chemical vapor deposition, CVD)을 통해 중수소(D)를 포함하는 제3 층간 절연막을 형성하는 것을 포함한다.
본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1은 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법에 의해 제조된 반도체 장치를 설명하기 위한 도면이다.
도 2 내지 도 7은 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 8은 본 발명의 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법에 의해 제조된 반도체 장치를 설명하기 위한 도면이다.
도 9 및 도 10은 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 11 및 도 12는 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 13 및 도 16은 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 17 및 도 18은 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
이하에서, 도 1을 참조하여 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법에 의해 제조된 반도체 장치를 설명한다.
도 1은 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법에 의해 제조된 반도체 장치를 설명하기 위한 도면이다.
도 1을 참조하면, 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법에 의해 제조된 반도체 장치는 기판(100), 제1 배선층(110), 제1 비아층(120) 및 제2 배선층(130)을 포함한다.
기판(100)은 베이스 기판과 에피층이 적층된 구조일 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 기판(100)은 실리콘 기판, 갈륨 비소 기판, 실리콘 게르마늄 기판, 세라믹 기판, 석영 기판, 또는 디스플레이용 유리 기판 등일 수도 있고, SOI(Semiconductor On Insulator) 기판일 수도 있다.
또한, 도시되지 않았지만, 기판(100)은 도전성 패턴을 포함할 수 있다. 도전성 패턴은 금속 배선 또는 컨택 등일 수도 있고, 트랜지스터의 게이트 전극, 트랜지스터의 소오스/드레인, 또는 다이오드 등일 수도 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제1 배선층(110)은 기판(100) 상에 형성될 수 있다. 제1 배선층(110)은 제1 금속 배선(111), 제1 배리어막(112), 제1 캡핑막(113) 및 제1 층간 절연막(114)을 포함할 수 있다.
제1 층간 절연막(114)은 기판(100) 상에 형성될 수 있다. 제1 층간 절연막(114)은 제1 금속 배선(111)을 감싸도록 형성될 수 있다. 제1 층간 절연막(114)은 제1 층간 절연막(114) 내에 형성된 제1 트렌치(T1)를 포함할 수 있다.
제1 층간 절연막(114)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 저유전율 물질 중 적어도 하나를 포함할 수 있다. 제1 층간 절연막(114)은 배선 사이의 커플링 형상을 경감시키기 위해 저유전율 물질을 포함할 수 있다.
저유전율 물질은 예를 들어, FOX(Flowable Oxide), TOSZ(Tonen SilaZen), USG(Undoped Silica Glass), BSG(Borosilica Glass), PSG(PhosphoSilaca Glass), BPSG(BoroPhosphoSilica Glass), PETEOS(Plasma Enhanced Tetra Ethyl Ortho Silicate), FSG(Fluoride Silicate Glass), CDO(Carbon Doped silicon Oxide), Xerogel, Aerogel, Amorphous Fluorinated Carbon, OSG(Organo Silicate Glass), Parylene, BCB(bis-benzocyclobutenes), SiLK, polyimide, porous polymeric material 또는 이들의 조합을 포함할 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제1 배리어막(112)은 제1 트렌치(T1)의 측벽 및 바닥면을 따라서 형성될 수 있다. 제1 배리어막(112)은 제1 금속 배선(111)에 포함된 원소가 제1 층간 절연막(114) 등으로 확산되는 것을 방지하거나, 제1 층간 절연막(114)에 포함된 산소가 제1 금속 배선(111)으로 확산되어 들어오는 것을 방지할 수 있다.
제1 배리어막(112)은 예를 들어, 티타늄(Ti), 티타늄 질화물(TiN), 탄탈륨(Ta), 탄탈륨 질화물(TaN), 탄탈륨 탄질화물(TaCN), 텅스텐(W), 텅스텐 질화물(WN), 텅스텐 탄질화물(WCN), 지르코늄(Zr), 지르코늄 질화물(ZrN), 바나듐(V), 바나듐 질화물(VN), 니오븀(Nb), 니오븀 질화물(NbN) 및 이들의 조합 중 하나를 포함할 수 있다.
제1 금속 배선(111)은 제1 트렌치(T1) 내에, 제1 트렌치(T1)를 채워서 형성될 수 있다. 제1 금속 배선(111)은 제1 배리어막(112) 상에 형성될 수 있다. 제1 금속 배선(111)은 기판(100)에 포함될 수 있는 도전성 패턴과 전기적으로 접속될 수 있다.
제1 금속 배선(111)은 구리(Cu)를 포함할 수 있다. 또한, 제1 금속 배선(111)에 포함되는 구리(Cu)는 예를 들어, 탄소(C), 은(Ag), 코발트(Co), 탄탈륨(Ta), 인듐(In), 주석(Sn), 아연(Zn), 망간(Mn), 티타늄(Ti), 마그네슘(Mg), 크롬(Cr), 게르마늄(Ge), 스트론튬(Sr), 백금(Pt), 마그네슘(Mg), 알루미늄(Al) 또는 지르코늄(Zr) 중 적어도 하나를 포함할 수도 있다.
도 1에는 도시되어 있지 않지만, 제1 금속 배선(111)과 제1 배리어막(112) 사이에 라이너막이 더 형성될 수 있다.
라이너막은 예를 들어, 루테늄(Ru), 백금(Pt), 이리듐(Ir) 및 로듐(Rh) 중 적어도 하나를 포함할 수 있다. 즉, 상술한 노블 금속은 예를 들어, 루테늄(Ru), 백금(Pt), 이리듐(Ir) 및 로듐(Rh) 중 적어도 하나를 포함할 수 있다.
제1 캡핑막(113)은 제1 금속 배선(111)의 상면 상에 형성될 수 있다. 도 1에는 제1 캡핑막(113)이 제1 트렌치(T1) 내부에서 제1 배리어막(112)과 직접 접촉하는 것으로 도시되어 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
또한, 도 1에는 제1 캡핑막(113)이 제1 금속 배선(111)의 상면을 전체적으로 덮는 것으로 도시되어 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 제1 캡핑막(113)은 제1 금속 배선(111)의 상면의 일부만을 덮도록 형성될 수도 있다.
제1 캡핑막(113)은 예를 들어, 코발트(Co), 루테늄(Ru) 및 망간(Mn) 중 적어도 하나를 포함할 수 있다.
제1 비아층(120)은 제1 배선층(110) 상에 형성될 수 있다. 제1 비아층(120)은 제1 비아(121), 제1 비아 배리어막(122), 제2 층간 절연막(124) 및 제1 식각 정지막(125)을 포함할 수 있다.
제1 식각 정지막(125)은 제1 배선층(110) 상에 형성될 수 있다. 제1 식각 정지막(125)은 예를 들어, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄소질화물 등을 포함할 수 있다.
제2 층간 절연막(124)은 제1 식각 정지막(125) 상에 형성될 수 있다. 제2 층간 절연막(124) 및 제1 식각 정지막(125)은 제1 비아(121)의 측면을 감싸도록 형성될 수 있다.
제2 층간 절연막(124)은 제2 층간 절연막(124) 내에 형성된 제2 트렌치(T2)를 포함할 수 있다. 제2 트렌치(T2)는 제2 층간 절연막(124) 및 제1 식각 정지막(125)을 관통하여 제1 캡핑막(113)이 노출되도록 형성될 수 있다.
제2 층간 절연막(124)은 제1 층간 절연막(114)과 동일한 물질 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 저유전율 물질 중 적어도 하나를 포함할 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 제2 층간 절연막(124)은 제1 층간 절연막(114)과 다른 물질을 포함할 수도 있다.
제1 비아 배리어막(122)은 제2 트렌치(T2)의 측벽 및 바닥면을 따라서 형성될 수 있다. 제1 비아 배리어막(122)은 예를 들어, 티타늄(Ti), 티타늄 질화물(TiN), 탄탈륨(Ta), 탄탈륨 질화물(TaN), 탄탈륨 탄질화물(TaCN), 텅스텐(W), 텅스텐 질화물(WN), 텅스텐 탄질화물(WCN), 지르코늄(Zr), 지르코늄 질화물(ZrN), 바나듐(V), 바나듐 질화물(VN), 니오븀(Nb), 니오븀 질화물(NbN) 및 이들의 조합 중 하나를 포함할 수 있다.
제1 비아(121)는 제2 트렌치(T2) 내에, 제2 트렌치(T2)를 채워서 형성될 수 있다. 제1 비아(121)는 제1 비아 배리어막(122) 상에 형성될 수 있다. 제1 비아(121)는 제1 금속 배선(111)과 전기적으로 접속될 수 있다.
제1 비아(121)는 구리(Cu)를 포함할 수 있다. 또한, 제1 비아(121)에 포함되는 구리(Cu)는 예를 들어, 탄소(C), 은(Ag), 코발트(Co), 탄탈륨(Ta), 인듐(In), 주석(Sn), 아연(Zn), 망간(Mn), 티타늄(Ti), 마그네슘(Mg), 크롬(Cr), 게르마늄(Ge), 스트론튬(Sr), 백금(Pt), 마그네슘(Mg), 알루미늄(Al) 또는 지르코늄(Zr) 중 적어도 하나를 포함할 수도 있다.
제2 배선층(130)은 제1 비아층(120) 상에 형성될 수 있다. 제2 배선층(130)은 제2 금속 배선(131), 제2 배리어막(132), 제2 캡핑막(133) 및 제3 층간 절연막(134)을 포함할 수 있다.
제3 층간 절연막(134)은 제1 비아층(120) 상에 형성될 수 있다. 제3 층간 절연막(134)은 제2 금속 배선(131)을 감싸도록 형성될 수 있다.
제3 층간 절연막(134)은 제2 층간 절연막(124)과 직접 접하도록 형성될 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 제3 층간 절연막(134)과 제2 층간 절연막(124) 사이에 식각 정지막이 형성될 수도 있다.
제3 층간 절연막(134)은 제2 금속 배선(131)의 상부를 덮도록 형성될 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 제3 층간 절연막(134)의 상면은 제2 금속 배선(131) 상에 형성된 제2 캡핑막(133)의 상면과 동일 평면 상에 형성될 수도 있다.
제3 층간 절연막(134)은 화학적 기상 증착법(chemical vapor deposition, CVD)을 통해 형성될 수 있다. 제3 층간 절연막(134)의 형성 방법에 대한 상세한 설명은 후술한다.
제3 층간 절연막(134)은 중수소(D)를 포함할 수 있다. 또한, 제3 층간 절연막(134)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 저유전율 물질 중 적어도 하나를 포함할 수 있다.
제3 층간 절연막(134)이 중수소(D)를 포함하는 반면에, 제1 층간 절연막(114) 및 제2 층간 절연막(124) 각각은 중수소(D)를 포함하지 않는다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제2 배리어막(132)은 제1 비아(121) 및 제1 비아 배리어막(122) 상에 형성될 수 있다. 또한, 제2 배리어막(132)의 일부는 제2 층간 절연막(124) 상에 형성될 수 있다.
제2 배리어막(132)은 예를 들어, 티타늄(Ti), 티타늄 질화물(TiN), 탄탈륨(Ta), 탄탈륨 질화물(TaN), 탄탈륨 탄질화물(TaCN), 텅스텐(W), 텅스텐 질화물(WN), 텅스텐 탄질화물(WCN), 지르코늄(Zr), 지르코늄 질화물(ZrN), 바나듐(V), 바나듐 질화물(VN), 니오븀(Nb), 니오븀 질화물(NbN) 및 이들의 조합 중 하나를 포함할 수 있다.
제2 금속 배선(131)은 제2 배리어막(132) 상에 형성될 수 있다. 제2 금속 배선(131)은 제2 배리어막(132)과 완전히 오버랩되도록 형성될 수 있다. 제2 금속 배선(131)은 제1 비아(121)와 전기적으로 접속될 수 있다.
제2 금속 배선(131)은 구리(Cu)를 포함할 수 있다. 또한, 제2 금속 배선(131)에 포함되는 구리(Cu)는 예를 들어, 탄소(C), 은(Ag), 코발트(Co), 탄탈륨(Ta), 인듐(In), 주석(Sn), 아연(Zn), 망간(Mn), 티타늄(Ti), 마그네슘(Mg), 크롬(Cr), 게르마늄(Ge), 스트론튬(Sr), 백금(Pt), 마그네슘(Mg), 알루미늄(Al) 또는 지르코늄(Zr) 중 적어도 하나를 포함할 수도 있다.
제2 캡핑막(133)은 제2 금속 배선(131)의 상면 상에 형성될 수 있다. 제2 캡핑막(133)은 예를 들어, 코발트(Co), 루테늄(Ru) 및 망간(Mn) 중 적어도 하나를 포함할 수 있다.
도 1에 도시된 바와 같이, 제2 배리어막(132), 제2 금속 배선(131) 및 제2 캡핑막(133)은 순차적으로 적층된 스택 형상을 가질 수 있다. 즉, 제2 배리어막(132), 제2 금속 배선(131) 및 제2 캡핑막(133) 각각의 측벽은 제3 층간 절연막과 접하도록 형성될 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 제2 금속 배선(131)의 측벽을 따라 제2 배리어막(132)이 형성될 수도 있다.
이하에서, 도 1 내지 도 7을 참조하여 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명한다.
도 2 내지 도 7은 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 2를 참조하면, 기판(100) 상에 제1 층간 절연막(114)이 형성될 수 있다. 제1 층간 절연막(114)은 예를 들어, 화학적 기상 증착법(Chemical Vapor Deposition, CVD), 스핀 코팅, Thermal CVD, PECVD(Plasma Enhanced CVD), HDP-CVD(High Density Plasma CVD) 등을 이용하여 형성될 수 있다.
이어서, 마스크 패턴을 이용하여, 제1 층간 절연막(114)을 식각하여 제1 층간 절연막(114) 내에 제1 트렌치(T1)가 형성될 수 있다. 이로 인해, 기판(100) 상에 제1 트렌치(T1)를 포함하는 제1 층간 절연막(114)이 형성될 수 있다.
도 2에는 기판(100)이 제1 트렌치(T1)에 의해 노출되지 않도록 제1 트렌치(T1)가 형성되는 것으로 도시되어 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 제1 트렌치(T1)는 제1 층간 절연막(114)을 관통하여 기판(100)을 노출시키도록 형성될 수도 있다.
또 다른 몇몇 실시예에서, 기판(100) 상에 식각 정지막 및 제1 층간 절연막(114)이 순차적으로 적층된 후에, 마스크 패턴을 이용하여 식각 정지막 및 제1 층간 절연막(114)을 식각하여 제1 트렌치(T1)가 형성될 수도 있다.
도 3을 참조하면, 제1 트렌치(T1) 내에 제1 트렌치(T1)의 측벽 및 바닥면을 따라 제1 배리어막(112)이 형성될 수 있다.
이어서, 제1 트렌치(T1)를 채우도록 제1 배리어막(112) 상에 제1 금속 배선(111)이 형성된 후에, 제1 금속 배선(111) 상에 제1 캡핑막(113)이 형성됨으로써 제1 배선층(110)이 형성될 수 있다.
도 4를 참조하면, 제1 배선층(110) 상에 제1 식각 정지막(125) 및 제2 층간 절연막(124)이 순차적으로 적층될 수 있다.
제1 식각 정지막(125)은 예를 들어, 화학적 기상 증착법(CVD) 등을 통해 형성될 수 있다. 제2 층간 절연막(124)은 예를 들어, 화학적 기상 증착법(CVD), 스핀 코팅, Thermal CVD, PECVD(Plasma Enhanced CVD), HDP-CVD(High Density Plasma CVD) 등을 이용하여 형성될 수 있다.
이어서, 마스크 패턴을 이용하여, 제2 층간 절연막(124) 및 제1 식각 정지막(125)을 식각하여 제2 층간 절연막(124) 내에 제1 캡핑막(113)이 노출되도록 제2 트렌치(T2)가 형성될 수 있다. 이로 인해, 제1 배선층(110) 상에 제2 트렌치(T2)를 포함하는 제2 층간 절연막(124)이 형성될 수 있다.
도 5를 참조하면, 제2 트렌치(T2) 내에 제2 트렌치(T2)의 측벽 및 바닥면을 따라 제1 비아 배리어막(122)이 형성될 수 있다.
이어서, 제2 트렌치(T2)를 채우도록 제1 비아 배리어막(122) 상에 제1 비아(121)가 형성됨으로써 제1 비아층(120)이 형성될 수 있다.
도 6을 참조하면, 제1 비아층(120) 상에 제2 배리어막 물질(132a), 제2 금속 배선 물질(131a) 및 제2 캡핑막 물질(133a)이 순차적으로 적층될 수 있다.
도 7을 참조하면, 마스크 패턴을 이용하여, 제2 배리어막 물질(132a), 제2 금속 배선 물질(131a) 및 제2 캡핑막 물질(133a)을 식각함으로써, 제1 비아층(120) 상에 제2 배리어막(132), 제2 금속 배선(131) 및 제2 캡핑막(133)이 순차적으로 적층된 스택을 형성할 수 있다.
도 7에는 설명의 편의를 위해, 제1 비아층(120) 상에 제2 배리어막(132), 제2 금속 배선(131) 및 제2 캡핑막(133)이 순차적으로 적층된 2개의 스택이 이격되어 형성되는 것으로 도시되어 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
도 1을 참조하면, 제1 비아층(120) 상에 제3 층간 절연막(134)이 형성될 수 있다. 구체적으로, 제3 층간 절연막(134)은 제2 금속 배선(131)의 측면 및 상면을 덮도록 형성될 수 있다. 더욱 구체적으로, 제3 층간 절연막(134)은 제2 배리어막(132)의 측벽, 제2 금속 배선(131)의 측벽, 제2 캡핑막(133)의 측벽 및 상면을 덮도록 형성될 수 있다.
제3 층간 절연막(134)은 예를 들어, 화학적 기상 증착법(Chemical Vapor Deposition, CVD), Thermal CVD, PECVD(Plasma Enhanced CVD), HDP-CVD(High Density Plasma CVD) 등을 이용하여 형성될 수 있다. 제3 층간 절연막(134)은 예를 들어, HDP-CVD(High Density Plasma CVD)를 이용하여 형성되는 경우, 450℃보다 작은 공정 온도에서 형성될 수 있다.
구체적으로, 제3 층간 절연막(134)은 중수소(D)를 포함하는 제1 가스 및 수소(H)를 포함하는 제2 가스를 이용하여, 화학적 기상 증착법(CVD)을 통해 형성될 수 있다. 이로 인해, 제3 층간 절연막(134)은 중수소(D)를 포함할 수 있다.
제1 가스는 예를 들어, SiO4, D2, D2O, HD3, Si2D6 및 (C2H5)3SiD) 중 적어도 하나를 포함할 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제2 가스는 예를 들어, SiH4를 포함할 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
다른 몇몇 실시예에서, 중수소(D)를 포함하는 제1 가스 및 수소(H)를 포함하는 제2 가스 이외에, 캐리어 가스가 더 이용될 수도 있다. 캐리어 가스는 예를 들어, Ar, O2, N2, H2, D2, D2O, HD3, ND3, NDHe, O3, NH3, Kr 및 Xe 중 적어도 하나를 포함할 수 있다.
중수소(D)를 포함하는 제1 가스 및 수소(H)를 포함하는 제2 가스를 제공하는 것과 화학적 기상 증착법(CVD)은 동시에 수행될 수 있다. 이로 인해, 화학적 기상 증착법(CVD)을 통해 제3 층간 절연막(134)을 형성하는 동안에, 중수소(D)를 제2 금속 배선(131), 제1 비아(121) 및 제1 금속 배선(111)을 통해 확산시킬 수 있다.
수소(H) 및 중수소(D)를 이용한 화학적 기상 증착법(CVD)을 통해 제3 층간 절연막(134)을 형성함으로써 반도체 장치의 신뢰성을 향상시킬 수 있고, 막질의 특성을 향상시킬 수 있다.
상술한 제조 방법을 통해 도 1에 도시된 반도체 장치가 제조될 있다. 본 명세서에는 금속 배선을 감싸는 층간 절연막을 형성할 때 수소(H) 및 중수소(D)를 이용한 화학적 기상 증착법(CVD)을 이용하는 것을 설명하고 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
즉, 다른 몇몇 실시예에서, 수소(H) 및 중수소(D)를 이용한 화학적 기상 증착법(CVD)을 통해, 예를 들어 게이트 절연막과 같은 다른 유전막이 형성될 수도 있다.
이하에서, 도 8를 참조하여 본 발명의 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명한다. 도 1 내지 도 7에 도시된 반도체 장치의 제조 방법과의 차이점을 중심으로 설명한다.
도 8은 본 발명의 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법에 의해 제조된 반도체 장치를 설명하기 위한 도면이다.
도 8을 참조하면, 도 7에 도시된 공정이 진행된 후에, 제1 비아층(120) 상에 제3 층간 절연막(134)이 형성될 수 있다. 이 경우, 제3 층간 절연막(134)의 내에 에어-갭(air-gap)(235)이 형성될 수 있다.
에어-갭(air-gap)(235)은 제2 배리어막(132), 제2 금속 배선(131) 및 제2 캡핑막(133)이 순차적으로 적층되고 서로 이격되어 형성된 2개의 스택 사이에 형성될 수 있다. 즉, 제2 배선층(230) 내의 2개의 제2 금속 배선(131) 사이에 에어-갭(air-gap)(235)이 형성될 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이하에서, 도 9 및 도 10을 참조하여 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명한다. 도 1 내지 도 7에 도시된 반도체 장치의 제조 방법과의 차이점을 중심으로 설명한다.
도 9 및 도 10은 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 9를 참조하면, 도 5에 도시된 공정이 수행된 후에, 제1 비아층(120) 상에 제2 식각 정지막(335) 및 제3 층간 절연막(334)이 순차적으로 적층될 수 있다.
제3 층간 절연막(334)은 중수소(D)를 포함하는 제1 가스 및 수소(H)를 포함하는 제2 가스를 이용하여, 화학적 기상 증착법(CVD)을 통해 형성될 수 있다.
이어서, 마스크 패턴을 이용하여, 제3 층간 절연막(334) 및 제2 식각 정지막(335)을 식각하여 제3 층간 절연막(334) 내에 제1 비아(121)의 상면이 노출되도록 제3 트렌치(T3)가 형성될 수 있다. 이로 인해, 제1 비아층(120) 상에 제3 트렌치(T3)를 포함하는 제3 층간 절연막(334)이 형성될 수 있다.
도 10을 참조하면, 제3 트렌치(T3) 내에 제3 트렌치(T3)의 측벽 및 바닥면을 따라 제2 배리어막(332)이 형성될 수 있다.
이어서, 제3 트렌치(T3)를 채우도록 제2 배리어막(332) 상에 제2 금속 배선(331)이 형성된 후에, 제2 금속 배선(331) 상에 제2 캡핑막(333)이 형성됨으로써 제2 배선층(330)이 형성될 수 있다.
이 경우, 제2 캡핑막(333)의 상면은 제3 층간 절연막(334)의 상면과 동일 평면 상에 형성될 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이하에서, 도 11 및 도 12를 참조하여 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명한다. 도 1 내지 도 7에 도시된 반도체 장치의 제조 방법과의 차이점을 중심으로 설명한다.
도 11 및 도 12는 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 11을 참조하면, 도 3에 도시된 공정이 수행된 후에, 제1 배선층(110) 상에 제1 식각 정지막(425) 및 제3 층간 절연막(434)이 순차적으로 적층될 수 있다.
제3 층간 절연막(434)은 중수소(D)를 포함하는 제1 가스 및 수소(H)를 포함하는 제2 가스를 이용하여, 화학적 기상 증착법(CVD)을 통해 형성될 수 있다.
이어서, 마스크 패턴을 이용하여, 제3 층간 절연막(434) 및 제1 식각 정지막(425)을 식각하여 제3 층간 절연막(434) 내에 제1 캡핑막(113)의 상면이 노출되도록 제4 트렌치(T4) 및 제4 트렌치(T4) 상에 제5 트렌치(T5)가 형성될 수 있다.
제4 트렌치(T4)는 제3 층간 절연막의 하부(434a) 내에 형성될 수 있고, 제5 트렌치(T5)는 제3 층간 절연막의 상부(434b) 내에 형성될 수 있다. 제5 트렌치(T5)의 폭은 제4 트렌치(T4)의 폭보다 크게 형성될 수 있다.
몇몇 실시예에서, 제4 트렌치(T4)가 우선 형성되고, 이어서 제5 트렌치(T5)가 형성될 수 있다. 반면에, 다른 몇몇 실시예에서, 제5 트렌치(T5)가 우선 형성되고, 이어서 제4 트렌치(T4)가 형성될 수도 있다.
도 12를 참조하면, 제4 및 제5 트렌치(T4, T5) 내에 제4 및 제5 트렌치(T4, T5) 각각의 측벽 및 바닥면을 따라 제2 배리어막(432)이 형성될 수 있다.
이어서, 제4 트렌치(T4)를 채우도록 제2 배리어막(432) 상에 제1 비아(421)가 형성될 수 있고, 제5 트렌치(T5)를 채우도록 제2 배리어막(432) 및 제1 비아(421) 상에 제2 금속 배선(431)이 형성될 수 있다.
제1 비아(421) 및 제2 금속 배선(431)은 동일한 공정을 통해 형성될 수 있다. 제1 비아(421) 및 제2 금속 배선(431)은 동일한 물질을 포함할 수 있다.
이어서, 제2 금속 배선(431) 상에 제2 캡핑막(433)이 형성됨으로써 제2 배선층(430)이 형성될 수 있다.
이하에서, 도 13 내지 도 16을 참조하여 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명한다. 도 1 내지 도 7에 도시된 반도체 장치의 제조 방법과의 차이점을 중심으로 설명한다.
도 13 및 도 16은 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 13을 참조하면, 도 1에 도시된 반도체 장치가 제조된 후에, 제2 배선층(130) 상에 제3 식각 정지막(545) 및 제4 층간 절연막(544)이 순차적으로 적층될 수 있다.
제3 식각 정지막(545)은 예를 들어, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄소질화물 등을 포함할 수 있다. 제4 층간 절연막(544)은 제1 및 제2 층간 절연막(114, 124)과 동일한 물질 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 저유전율 물질 중 적어도 하나를 포함할 수 있다.
제3 식각 정지막(545) 및 제4 층간 절연막(544) 각각은 예를 들어, 화학적 기상 증착법(CVD) 등을 통해 형성될 수 있다.
이어서, 마스크 패턴을 이용하여, 제4 층간 절연막(544), 제3 식각 정지막(545) 및 제3 층간 절연막(134)의 일부를 식각하여 제4 층간 절연막(544) 내에 제2 캡핑막(133)의 상면이 노출되도록 제6 트렌치(T6)가 형성될 수 있다. 이로 인해, 제2 배선층(130) 상에 제6 트렌치(T6)를 포함하는 제4 층간 절연막(544)이 형성될 수 있다.
도 14를 참조하면, 제6 트렌치(T6) 내에 제6 트렌치(T6)의 측벽 및 바닥면을 따라 제2 비아 배리어막(542)이 형성될 수 있다.
이어서, 제6 트렌치(T6)를 채우도록 제2 비아 배리어막(542) 상에 제2 비아(541)가 형성됨으로써 제2 비아층(540)이 형성될 수 있다.
제2 비아(541)는 제2 금속 배선(131)과 전기적으로 접속될 수 있다. 제2 비아(541)는 예를 들어, 탄소(C), 은(Ag), 코발트(Co), 탄탈륨(Ta), 인듐(In), 주석(Sn), 아연(Zn), 망간(Mn), 티타늄(Ti), 마그네슘(Mg), 크롬(Cr), 게르마늄(Ge), 스트론튬(Sr), 백금(Pt), 마그네슘(Mg), 알루미늄(Al) 또는 지르코늄(Zr) 중 적어도 하나를 포함할 수 있다.
도 15를 참조하면, 제2 비아층(540) 상에 제4 식각 정지막(555) 및 제5 층간 절연막(554)이 순차적으로 적층될 수 있다.
제4 식각 정지막(555)은 예를 들어, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄소질화물 등을 포함할 수 있다. 제5 층간 절연막(554)은 제1, 제2 및 제4 층간 절연막(114, 124, 544)과 동일한 물질 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 저유전율 물질 중 적어도 하나를 포함할 수 있다.
제4 식각 정지막(555) 및 제5 층간 절연막(554) 각각은 예를 들어, 화학적 기상 증착법(CVD) 등을 통해 형성될 수 있다.
이어서, 마스크 패턴을 이용하여, 제5 층간 절연막(554) 및 제4 식각 정지막(555)을 식각하여 제5 층간 절연막(554) 내에 제2 비아(541)의 상면이 노출되도록 제7 트렌치(T7)가 형성될 수 있다. 이로 인해, 제2 비아층(540) 상에 제7 트렌치(T7)를 포함하는 제5 층간 절연막(554)이 형성될 수 있다.
도 16을 참조하면, 제7 트렌치(T7) 내에 제7 트렌치(T7)의 측벽 및 바닥면을 따라 제3 배리어막(552)이 형성될 수 있다.
이어서, 제7 트렌치(T7)를 채우도록 제3 배리어막(552) 상에 제3 금속 배선(551)이 형성된 후에, 제3 금속 배선(551) 상에 제3 캡핑막(553)이 형성됨으로써 제3 배선층(550)이 형성될 수 있다.
제3 금속 배선(551)은 제2 비아(541)와 전지적으로 접속될 수 있다. 제3 금속 배선(551)은 예를 들어, 탄소(C), 은(Ag), 코발트(Co), 탄탈륨(Ta), 인듐(In), 주석(Sn), 아연(Zn), 망간(Mn), 티타늄(Ti), 마그네슘(Mg), 크롬(Cr), 게르마늄(Ge), 스트론튬(Sr), 백금(Pt), 마그네슘(Mg), 알루미늄(Al) 또는 지르코늄(Zr) 중 적어도 하나를 포함할 수 있다.
이 경우, 제3 캡핑막(553)의 상면은 제5 층간 절연막(554)의 상면과 동일 평면 상에 형성될 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이하에서, 도 17 및 도 18을 참조하여 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명한다. 도 1 내지 도 7에 도시된 반도체 장치의 제조 방법과의 차이점을 중심으로 설명한다.
도 17 및 도 18은 본 발명의 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 17을 참조하면, 도 1에 도시된 반도체 장치가 제조된 후에, 제2 배선층(130) 상에 제3 식각 정지막(655) 및 제6 층간 절연막(654)이 순차적으로 적층될 수 있다.
이어서, 마스크 패턴을 이용하여, 제6 층간 절연막(654) 및 제3 식각 정지막(655)을 식각하여 제6 층간 절연막(654) 내에 제2 캡핑막(133)의 상면이 노출되도록 제8 트렌치(T8) 및 제8 트렌치(T8) 상에 제9 트렌치(T9)가 형성될 수 있다.
제8 트렌치(T8)는 제3 층간 절연막(134) 및 제6 층간 절연막(654) 내에 형성될 수 있고, 제9 트렌치(T9)는 제6 층간 절연막(654) 내에 형성될 수 있다. 제9 트렌치(T9)의 폭은 제8 트렌치(T8)의 폭보다 크게 형성될 수 있다.
몇몇 실시예에서, 제8 트렌치(T8)가 우선 형성되고, 이어서 제9 트렌치(T9)가 형성될 수 있다. 반면에, 다른 몇몇 실시예에서, 제9 트렌치(T9)가 우선 형성되고, 이어서 제8 트렌치(T8)가 형성될 수도 있다.
도 18을 참조하면, 제8 및 제9 트렌치(T8, T9) 내에 제8 및 제9 트렌치(T8, T9) 각각의 측벽 및 바닥면을 따라 제4 배리어막(652)이 형성될 수 있다.
이어서, 제8 트렌치(T8)를 채우도록 제4 배리어막(652) 상에 제3 비아(641)가 형성될 수 있고, 제5 트렌치(T5)를 채우도록 제4 배리어막(652) 및 제3 비아(641) 상에 제4 금속 배선(651)이 형성될 수 있다.
제3 비아(641) 및 제4 금속 배선(651) 각각은 제2 금속 배선(131)과 전기적으로 접속될 수 있다.
제3 비아(641) 및 제4 금속 배선(651)은 동일한 공정을 통해 형성될 수 있다. 제3 비아(641) 및 제4 금속 배선(651)은 동일한 물질을 포함할 수 있다.
이어서, 제4 금속 배선(651) 상에 제4 캡핑막(653)이 형성됨으로써 제4 배선층(650)이 형성될 수 있다.
이 경우, 제4 캡핑막(653)의 상면은 제6 층간 절연막(654)의 상면과 동일 평면 상에 형성될 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이상 첨부된 도면을 참조하여 본 발명의 기술적 사상에 따른 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
111: 제1 금속 배선 114: 제1 층간 절연막
123: 제1 비아 124: 제2 층간 절연막
125: 제1 식각 정지막 131: 제2 금속 배선
134: 제3 층간 절연막

Claims (10)

  1. 기판 상에 제1 금속 배선 및 상기 제1 금속 배선을 감싸는 제1 층간 절연막을 포함하는 제1 배선층을 형성하고,
    상기 제1 배선층 상에 상기 제1 금속 배선과 전기적으로 접속되는 제1 비아 및 상기 제1 비아를 감싸는 제2 층간 절연막을 포함하는 제1 비아층을 형성하고,
    상기 제1 비아층 상에 상기 제1 비아와 전기적으로 접속되는 제2 금속 배선 및 상기 제2 금속 배선을 감싸는 제3 층간 절연막을 포함하는 제2 배선층을 형성하는 것을 포함하되,
    상기 제2 배선층을 형성하는 것은,
    중수소(D)를 포함하는 제1 가스 및 수소(H)를 포함하는 제2 가스를 이용하여, 화학적 기상 증착법(chemical vapor deposition, CVD)을 통해 중수소(D)를 포함하는 제3 층간 절연막을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  2. 제 1항에 있어서,
    상기 제2 배선층을 형성하는 것은,
    상기 제1 비아층 상에 상기 제2 금속 배선을 형성하고,
    상기 제2 금속 배선을 감싸도록 상기 제3 층간 절연막을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  3. 제 1항에 있어서,
    상기 제2 배선층을 형성하는 것은,
    상기 제1 비아층 상에 상기 제3 층간 절연막을 형성하고,
    상기 제3 층간 절연막을 식각하여 제1 트렌치를 형성하고,
    상기 트렌치를 채우는 상기 제2 금속 배선을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  4. 제 1항에 있어서,
    상기 제2 배선층을 형성한 후에,
    상기 제2 배선층 상에 상기 제2 금속 배선과 전기적으로 접속되는 제2 비아 및 상기 제2 비아를 감싸는 제4 층간 절연막을 포함하는 제2 비아층을 형성하고,
    상기 제2 비아층 상에 상기 제2 비아와 전기적으로 접속되는 제3 금속 배선 및 상기 제3 금속 배선을 감싸는 제5 층간 절연막을 포함하는 제3 배선층을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.
  5. 제 1항에 있어서,
    상기 제3 층간 절연막에 에어-갭(air-gap)이 형성되는 것을 더 포함하는 반도체 장치의 제조 방법.
  6. 제 1항에 있어서,
    상기 제3 층간 절연막을 형성하는 것은,
    450℃ 보다 작은 온도에서 플라즈마 화학적 기상 증착법을 통해 형성되는 것을 더 포함하는 반도체 장치의 제조 방법.
  7. 제 1항에 있어서,
    상기 제2 층간 절연막은 중수소(D)를 미포함하고,
    상기 제3 층간 절연막은 상기 제2 층간 절연막과 직접 접하는 반도체 장치의 제조 방법.
  8. 기판 상에 제1 금속 배선 및 상기 제1 금속 배선을 감싸는 제1 층간 절연막을 포함하는 제1 배선층을 형성하고,
    상기 제1 배선층 상에 상기 제1 금속 배선과 전기적으로 접속되는 제1 비아, 상기 제1 비아 상에 상기 제1 비아와 전기적으로 접속되는 제2 금속 배선, 상기 제1 비아 및 상기 제2 금속 배선을 감싸는 제2 층간 절연막을 포함하는 제2 배선층을 형성하는 것을 포함하되,
    상기 제2 배선층을 형성하는 것은,
    중수소(D)를 포함하는 제1 가스를 이용하여, 화학적 기상 증착법(chemical vapor deposition, CVD)을 통해 중수소(D)를 포함하는 상기 제2 층간 절연막을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  9. 제 8항에 있어서,
    상기 제2 배선층을 형성하는 것은,
    상기 제1 배선층 상에 상기 제2 층간 절연막을 형성하고,
    상기 제2 층간 절연막을 식각하여 제1 트렌치 및 상기 제1 트렌치 상에 제2 트렌치를 형성하고,
    상기 제1 트렌치를 채우는 상기 제1 비아를 형성하고, 상기 제2 트렌치를 채우는 상기 제2 금속 배선을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  10. 기판 상에 제1 트렌치를 포함하는 제1 층간 절연막을 형성하고,
    상기 제1 트렌치를 채우는 제1 금속 배선을 형성하고,
    상기 제1 층간 절연막 및 상기 제1 금속 배선을 덮는 제1 식각 정지막을 형성하고,
    상기 제1 식각 정지막 상에 상기 제1 식각 정지막을 관통하는 제2 트렌치를 포함하는 제2 층간 절연막을 형성하고,
    상기 제2 트렌치를 채우는 제1 비아를 형성하고,
    상기 제1 비아 상에 제2 금속 배선을 형성하고,
    상기 제2 층간 절연막 상에 상기 제2 금속 배선의 측면 및 상면을 덮도록 제3 층간 절연막을 형성하는 것을 포함하되,
    상기 제3 층간 절연막을 형성하는 것은,
    중수소(D)를 포함하는 제1 가스 및 수소(H)를 포함하는 제2 가스를 이용하여, 화학적 기상 증착법(chemical vapor deposition, CVD)을 통해 중수소(D)를 포함하는 제3 층간 절연막을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
KR1020180083916A 2018-07-19 2018-07-19 반도체 장치의 제조 방법 KR102601084B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020180083916A KR102601084B1 (ko) 2018-07-19 2018-07-19 반도체 장치의 제조 방법
US16/271,120 US10971395B2 (en) 2018-07-19 2019-02-08 Method for fabricating semiconductor device
CN201910653663.6A CN110739266A (zh) 2018-07-19 2019-07-18 制造半导体器件的方法
US17/215,365 US11557513B2 (en) 2018-07-19 2021-03-29 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180083916A KR102601084B1 (ko) 2018-07-19 2018-07-19 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20200009489A true KR20200009489A (ko) 2020-01-30
KR102601084B1 KR102601084B1 (ko) 2023-11-09

Family

ID=69161173

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180083916A KR102601084B1 (ko) 2018-07-19 2018-07-19 반도체 장치의 제조 방법

Country Status (3)

Country Link
US (2) US10971395B2 (ko)
KR (1) KR102601084B1 (ko)
CN (1) CN110739266A (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11710657B2 (en) * 2020-09-29 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure having air gap and method of fabrication thereof
CN112236025B (zh) * 2020-12-09 2021-03-16 武汉大学 高温电路器件的加工方法
US11830865B2 (en) * 2021-10-26 2023-11-28 Nanya Technology Corporation Semiconductor device with redistribution structure and method for fabricating the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6023093A (en) * 1997-04-28 2000-02-08 Lucent Technologies Inc. Deuterated direlectric and polysilicon film-based semiconductor devices and method of manufacture thereof
US20020168855A1 (en) * 1997-11-03 2002-11-14 Smythe John A. Method of fabricating a MOS device
US20120199942A1 (en) * 2011-02-07 2012-08-09 Rohm Co., Ltd. Semiconductor device and fabrication method thereof
US20120217497A1 (en) * 2011-02-28 2012-08-30 Kabushiki Kaisha Toshiba Manufacturing method for semiconductor device, manufacturing apparatus for semiconductor device, and semiconductor device
WO2018125148A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Systems and methods to reduce finfet gate capacitance

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11274489A (ja) 1998-03-26 1999-10-08 Toshiba Corp 電界効果トランジスタ及びその製造方法
JP3723173B2 (ja) 2002-11-06 2005-12-07 株式会社東芝 不揮発性半導体記憶装置の製造方法
US6943126B1 (en) * 2002-12-06 2005-09-13 Cypress Semiconductor Corporation Deuterium incorporated nitride
KR100543209B1 (ko) 2003-12-16 2006-01-20 주식회사 하이닉스반도체 Sonos 구조를 갖는 트랜지스터 제조 방법
JP4511307B2 (ja) 2004-02-10 2010-07-28 セイコーエプソン株式会社 ゲート絶縁膜、半導体素子、電子デバイスおよび電子機器
US7087507B2 (en) 2004-05-17 2006-08-08 Pdf Solutions, Inc. Implantation of deuterium in MOS and DRAM devices
KR20070106155A (ko) 2006-04-28 2007-11-01 주식회사 하이닉스반도체 비휘발성 메모리 소자의 제조방법
US8536640B2 (en) * 2007-07-20 2013-09-17 Cypress Semiconductor Corporation Deuterated film encapsulation of nonvolatile charge trap memory device
JP2009094348A (ja) 2007-10-10 2009-04-30 Fujitsu Microelectronics Ltd 半導体装置およびキャパシタの製造方法、成膜装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6023093A (en) * 1997-04-28 2000-02-08 Lucent Technologies Inc. Deuterated direlectric and polysilicon film-based semiconductor devices and method of manufacture thereof
US20020168855A1 (en) * 1997-11-03 2002-11-14 Smythe John A. Method of fabricating a MOS device
US20120199942A1 (en) * 2011-02-07 2012-08-09 Rohm Co., Ltd. Semiconductor device and fabrication method thereof
US20120217497A1 (en) * 2011-02-28 2012-08-30 Kabushiki Kaisha Toshiba Manufacturing method for semiconductor device, manufacturing apparatus for semiconductor device, and semiconductor device
WO2018125148A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Systems and methods to reduce finfet gate capacitance

Also Published As

Publication number Publication date
US20200027783A1 (en) 2020-01-23
KR102601084B1 (ko) 2023-11-09
CN110739266A (zh) 2020-01-31
US10971395B2 (en) 2021-04-06
US20210242079A1 (en) 2021-08-05
US11557513B2 (en) 2023-01-17

Similar Documents

Publication Publication Date Title
US11075160B2 (en) Semiconductor device and method for fabricating thereof
US11557513B2 (en) Semiconductor device
US10847454B2 (en) Semiconductor devices
US11881430B2 (en) Semiconductor device
US20220319916A1 (en) Semiconductor device
KR102654482B1 (ko) 반도체 장치 및 이의 제조 방법
CN112563242A (zh) 半导体装置
US7968974B2 (en) Scribe seal connection
TWI821859B (zh) 包括硬遮罩結構的半導體裝置
US6277755B1 (en) Method for fabricating an interconnect
US7777336B2 (en) Metal line of semiconductor device and method for forming the same
US10832948B2 (en) Semiconductor device and method for fabricating the same
US11837638B2 (en) Semiconductor device
KR100718451B1 (ko) 반도체 장치의 금속 배선 및 그 제조 방법
KR100738210B1 (ko) 반도체 장치의 박막 및 금속 배선 형성 방법
KR20230040444A (ko) 반도체 장치
KR20220165506A (ko) 반도체 장치 및 이의 제조 방법
KR20090000322A (ko) 반도체 소자의 금속배선 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant