KR20170135760A - 표면 처리에 의한 선택적 퇴적 - Google Patents

표면 처리에 의한 선택적 퇴적 Download PDF

Info

Publication number
KR20170135760A
KR20170135760A KR1020170067619A KR20170067619A KR20170135760A KR 20170135760 A KR20170135760 A KR 20170135760A KR 1020170067619 A KR1020170067619 A KR 1020170067619A KR 20170067619 A KR20170067619 A KR 20170067619A KR 20170135760 A KR20170135760 A KR 20170135760A
Authority
KR
South Korea
Prior art keywords
layer
metal
substrate
gas
exposing
Prior art date
Application number
KR1020170067619A
Other languages
English (en)
Inventor
카이-훙 유
칸다바라 엔. 타필리
다카히로 하카마타
숩하딥 칼
헤리트 예이. 뢰싱크
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170135760A publication Critical patent/KR20170135760A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/74General processes for purification of waste gases; Apparatus or devices specially adapted therefor
    • B01D53/76Gas phase processes, e.g. by using aerosols
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2251/00Reactants
    • B01D2251/20Reductants
    • B01D2251/202Hydrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60045Pre-treatment step of the bump connectors prior to bonding
    • H01L2021/60052Oxide removing step, e.g. flux, rosin

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Environmental & Geological Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Biomedical Technology (AREA)
  • Analytical Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Dispersion Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명의 실시형태들은 표면 처리를 이용한 상이한 물질들 상의 선택적 퇴적을 위한 방법을 제공한다. 일 실시형태에 따르면, 상기 방법은 제1 표면을 가진 제1 물질 층 및 제2 표면을 가진 제2 물질 층을 포함하는 기판을 제공하는 단계; 및 제2 표면을 수산기(hydroxyl groups)로 마감하는(terminate) 화학적 산화물 제거 프로세스를 수행하는 단계를 포함한다. 상기 방법은 소수성 작용기(hydrophobic functional group)를 함유하는 프로세스 가스에 노출시킴으로써 상기 제2 표면을 변형 - 상기 변형은 상기 제2 표면상의 수산기를 소수성 작용기로 치환함 - 시키는 단계; 및 상기 기판을 퇴적 가스(deposition gas)에 노출시킴으로써 상기 변형된 제2 표면이 아닌 상기 제1 표면 상에 금속 함유 층을 선택적으로 퇴적하는 단계를 더 포함한다.

Description

표면 처리에 의한 선택적 퇴적{SELECTIVE DEPOSITION WITH SURFACE TREATMENT}
본 출원은 2016년 5월 31일에 출원된 미국 특허 가출원 제 62/343,753 호에 관련되고 이 가출원을 우선권으로 주장하며, 이 가출원의 전체 내용은 참조로서 본원에 포함된다.
본 발명은 반도체 프로세싱 및 반도체 디바이스, 보다 구체적으로 표면 처리를 사용하는 상이한 물질 상의 선택적 성막을 위한 방법에 관한 것이다.
14 nm 기술 노드에서 디바이스 사이즈가 점점 작아짐에 따라, 제조에서의 복잡성이 증가하고 있다. 반도체 디바이스를 생산하는 비용이 또한 증가하고 있으며 비용 효율적 솔루션 및 혁신이 필요된다. 더 작은 트랜지스터가 제조됨에 따라, 패터닝되는 피처의 임계 치수(critical dimension; CD) 또는 해상력(resolution)이 생산에 대해 도전과제가 되고 있다. EUV 이후에도 비용 효율적 스케일링이 지속될 수 있도록 자기 정렬(self-aligned) 패터닝이 오버레이 기반(overlay-driven) 패터닝을 대체할 수 있다. 감소된 가변성(variability), 확장된 스케일링과 향상된 CD 및 공정 제어를 가능하게 하는 패터닝 옵션이 필요된다. 고도로 스케일링된 기술 노드에서의 패터닝에서 박막의 선택적 성막이 핵심 단계이다. 상이한 물질 표면 상의 선택적 막 성막을 제공하는 새로운 성막 방법이 요구된다. 필요되는 새로운 방법은 유전체 물질 상의 유전체 물질(dielectric materials on dielectric materials; DoD), 금속 상의 유전체 물질(dielectric materials on metals; DoM), 금속 상의 금속(metals on metals; MoM), 유전체 물질 상의 금속(metals on dielectric materials; MoD), 및 실리콘 상의 금속(metals on silicon; MOS)의 선택적 성막을 포함한다.
본 발명의 실시예는 표면 처리 및 후속 성막 공정을 사용하는 상이한 물질 상의 선택적 성막을 위한 방법을 제공한다.
본 발명의 일 실시예에 따르면, 방법은 제1 표면을 갖는 제1 물질층과 제2 표면을 갖는 제2 물질층을 포함하는 기판을 제공하는 제공 단계, 제2 표면을 히드록실기로 종단화하는 화학 산화물 제거 공정을 실행하는 실행 단계를 포함한다. 방법은, 소수성(hydrophobic) 작용기를 포함하는 공정 가스에 노출시킴으로써 제2 표면을 개질시키는 단계로서, 제2 표면 상의 히드록실기를 소수성 작용기로 치환하는 것인 개질 단계, 기판을 성막 가스(deposition gas)에 노출시킴으로써, 개질된 제2 표면 상이 아닌 제1 표면 상에 금속 함유층을 선택적으로 성막하는 성막 단계를 더 포함한다.
본 발명의 실시예 및 그 수반되는 많은 장점의 보다 완벽한 이해는, 특히 첨부된 도면과 관련하여 고려될 때 다음의 상세한 설명을 참조하면 쉽게 명백해질 것이다.
도 1a 내지 도 1d는 본 발명의 실시예에 따른 기판을 프로세싱하기 위한 방법의 개략적인 단면도를 도시한다.
도 2a 내지 도 2d는 본 발명의 실시예에 따른 기판을 프로세싱하기 위한 방법의 개략적인 단면도를 도시한다.
도 3a 내지 도 3d는 본 발명의 실시예에 따른 기판을 프로세싱하는 방법의 개략적인 단면도를 도시한다.
도 4는 본 발명의 실시예에 따른 Si 상의 Ru 금속의 선택적 성막에 대한 실험적 결과를 도시한다.
본 발명의 실시예는 표면 처리 및 후속 성막 공정을 사용하는 상이한 물질 상의 선택적 성막을 위한 방법을 제공한다. 선택적 성막은, 성막을 원치않는 물질의 표면 상의 증가된 인큐베이션 시간(incubation times)을 야기하면서 다른 물질 상의 빠르고 효율적인 성막을 제공하는 표면 처리에 의해 달성될 수 있다. 이 향상된 성막 선택도는, 물질층을 포함하는 반도체 디바이스에서 선간(line-to-line) 절연파괴(breakdown) 및 전기적 누설 성능에 대한 보다 큰 마진을 제공한다. 본 발명의 실시예는, 스핀 온 성막(spin-on deposition) 뿐만 아니라 원자 층 성막(atomic layer deposition; ALD)과 화학 기상 성막(chemical vapor deposition; CVD), 및 이들의 변형과 같은 표면 감응성 가스 기상 성막 공정에 매우 유용하다.
본 발명의 일 실시예에 따르면, 방법은 제1 표면을 갖는 제1 물질층과 제2 표면을 갖는 제2 물질층을 포함하는 기판을 제공하는 제공 단계, 제2 표면을 히드록실기로 종단화하는 화학 산화물 제거(chemical oxide removal; COR) 공정을 실행하는 실행 단계를 포함한다. 방법은, 소수성 작용기를 포함하는 공정 가스에 노출시킴으로써 제2 표면을 개질시키는 단계로서, 제2 표면 상의 히드록실기를 소수성 작용기로 치환하는 것인 개질 단계, 기판을 성막 가스에 노출시킴으로써, 개질된 제2 표면 상이 아닌 제1 표면 상에 금속 함유층을 선택적으로 성막하는 성막 단계를 더 포함한다. COR 공정은 건식 에칭 공정 또는 습식 에칭 공정을 포함할 수 있다. 건식 에칭 공정은, 예를 들어 HF 기반(HF-based)일 수 있다. 본 발명자는 COR 공정이 유전체 물질의 표면의 후속 표면 개질을 매우 향상시켜서, 개질된 유전체 표면 상의 후속 성막의 우수한 차단을 야기하는 것을 발견했다.
몇몇 예시에서, 개질은 기판 상의 로우-k 복원(low-k restoration; LKR) 또는 자기 조립 단층(self-assembled monolayers; SAM)의 형성과 같은 공정을 포함할 수 있다.
도 1a 내지 도 1d는 본 발명의 실시예에 따른 기판을 프로세싱하는 것의 개략적인 단면도를 도시한다. 도 1a는 제1 표면(103)을 갖는 Si층(104)과 제2 표면(105)을 갖는 SiOx층(102)을 포함하는 기판을 도시한다. SiOx층(102)은, x가 2와 동일하거나 2보다 작은 산화된 Si를 지칭한다. SiO2는 실리콘 산화물 중 가장 열역학적으로 안정적이며 따라서 실리콘 산화물 중 가장 상업적으로 중요하다.
기판은 Si층(104) 상의 그리고 SiOx층(102) 상의 자연 산화물층(106)을 더 포함한다. 자연 산화물층(106)은 기판을 산화시키는 대기 노출에 의해 형성될 수 있다. 대안적으로, 자연 산화물층(106)은 기판 상에 성막되는 화학 산화물층을 포함할 수 있다. 다른 실시예에 따르면, SiOx층(102)은 SiN, SiOH, SiCOH, 하이-k 물질, 및 로우-k 물질 중 하나 이상을 더 포함할 수 있거나 이들에 의해 대체될 수 있다.
집적 회로들(integrated circuits; ICs)의 속도 및 성능을 향상시키기 위한 동인(drive) 중 상호연결 지연이 주요 제한 요소이다. 상호연결 지연을 최소화하는 한가지 방식은 IC들의 생산 동안 로우-k 물질을 사용함으로써 상호연결 캐패시턴스를 감소시키는 것이다. 그러한 로우-k 물질은 또한 저온 프로세싱에 대해 유용함을 입증했다. 따라서, 최근에, 로우-k 물질은 SiO2(k~4)와 같은 비교적 높은 유전 상수(dielectric constant) 절연 물질을 대체하도록 개발되어 왔다.
특히, 로우-k 막은 반도체 디바이스의 금속층들간의 층간 및 층내 유전체층에 이용되고 있다. 추가적으로, 절연 물질의 유전 상수를 더 감소시키기 위해 포어(pore), 즉 다공성(porous) 로우-k 물질로 물질 막이 형성된다. 그러한 로우-k 물질은, 포토 레지스트의 응용과 유사한 스핀 온 유전체(spin-on dielectric; SOD) 방법에 의해, 또는 CVD에 의해 성막될 수 있다.
로우-k 물질은 3.7보다 작은 유전 상수, 또는 1.6 내지 3.7 범위의 유전 상수를 가질 수 있다. 로우-k 물질은 불소화 실리콘 글래스(fluorinated silicon glass; FSG), 탄소 도핑된 산화물, 폴리머, SiCOH 함유 로우-k 물질, 비다공성(non-porous) 로우-k 물질, 다공성 로우-k 물질, 스핀 온 유전체(SOD) 로우-k 물질, 또는 임의의 다른 적절한 유전체 물질을 포함할 수 있다. 로우-k 물질은, Applied Materials, Inc.로부터 상업적으로 이용가능한 BLACK DIAMOND®(BD) 또는 BLACK DIAMOND® II(BDII) SiCOH 물질, 또는 Novellus Systems, Inc.로부터 상업적으로 이용가능한 Coral® CVD 막을 포함할 수 있다. 다른 상업적으로 이용가능한 탄소 함유 물질은 Dow Chemical로부터 이용가능한 SILK®(예를 들어, SiLK-I, SiLK-J, SiLK-H, SiLK-D, 및 다공성 SiLK 반도체 유전체 레진) 및 CYCLOTENE® [벤조시클로부텐(benzocyclobutene)], 및 Honeywell로부터 이용가능한 GX-3TM, 및 GX-3PTM 반도체 유전체 레진을 포함한다.
로우 k 물질은, 작은 보이드(void)[또는 포어]를 생성하도록 경화(curing) 또는 성막 공정 동안 막의 완전한 고밀화(densification)를 저해하는 CH3 본딩을 갖는 실리콘 산화물 기반 매트릭스와 같은 단상(single-phase)으로 이루어진 다공성 무기 유기 혼성(hybrid) 막을 포함한다. 또한 대안적으로, 이들 유전체 물질은 경화 공정 동안 분해되고 증발되는 유기 물질[예를 들어, 포로겐(porogen)]의 포어를 갖는 탄소 도핑된 실리콘 산화물 기반 매트릭스와 같은 적어도 2개의 상들로 이루어진 다공성 무기 유기 혼성 막을 포함할 수 있다.
또한, 로우-k 물질은 SOD 기술을 사용하여 성막되는 히드로겐 실세스퀴옥산(hydrogen silsesquioxane; HSQ) 또는 메틸 실세스퀴옥산(methyl silsesquioxane; MSQ)과 같은 실리케이트 기반 물질을 포함한다. 그러한 막의 예시는 Dow Corning으로부터 상업적으로 이용가능한 FOx® HSQ, Dow Corning으로부터 상업적으로 이용가능한 XLK 다공성 HSQ, 및 JSR Microelectronics로부터 상업적으로 이용가능한 JSR LKD-5109를 포함한다.
하이-k 물질은 알칼라인 토류 원소, 희토류 원소, 원소 주기율표의 IIIA족, IVA족, 및 IVB족 원소로부터 선택되는 하나 이상의 금속 원소를 포함할 수 있다. 알칼라인 토류 금속 원소는 베릴륨(Be), 마그네슘(Mg), 칼슘(Ca), 스트론튬(Sr), 및 바륨(Ba)을 포함한다. 예시적인 산화물은 마그네슘 산화물, 칼슘 산화물 및 바륨 산화물, 및 이들의 조합을 포함한다. 희토류 금속 원소는 스칸튬(Sc), 이트륨(Y), 루테튬(Lu), 란타늄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm) , 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Er), 에르븀(Er), 툴륨(Tm), 및 이테르븀(Yb)의 그룹으로부터 선택될 수 있다. IVB족 원소는 티타늄(Ti), 하프늄(Hf), 및 지르코늄(Zr)을 포함한다. 본 발명의 몇몇 실시예에 따르면, 하이-k 물질은 HfO2, HfON, HfSiON, ZrO2, ZrON, ZrSiON, TiO2, TiON, Al2O3, La2O3, W2O3, CeO2, Y2O3 또는 Ta2O5, 또는 이들의 2개 이상의 조합을 포함할 수 있다. 그러나, 다른 유전체 물질이 고려되고 사용될 수 있다.
도 1b는 건식 에칭 공정 또는 습식 에칭 공정을 포함할 수 있는 화학 산화물 제거 공정 이후의 기판을 도시한다. 화학 산화물 제거 공정은 Si층(104) 및 SiOx층(102)으로부터 자연 산화물층(106)을 제거하고 SiOx층(102)을 히드록실기(-OH)(108)로 종단화한다. Si층(104)은 화학 산화물 제거 공정에 의해 Si-H기(도시 생략)로 종단화될 수 있다.
건식 에칭 공정은, 기판 상의 노출된 표면층을 화학적으로 변경하기 위한 COR 모듈, 기판 상의 화학적으로 변경된 표면층을 열적으로 처리하기 위한 후열 처리(post heat treatment; PHT) 모듈, 및 PHT 모듈과 COR 모듈 사이에 커플링되는 격리 조립체를 포함하는 프로세싱 시스템에서 실시될 수 있다. 일 예시에서, 기판은, 프로세싱 압력이 약 1 mTorr 내지 약 100 mTorr 범위일 수 있고, 예를 들어 약 2 mTorr 내지 약 25 mTorr 범위일 수 있는 COR 모듈 내에서 HF 및 NH3를 포함하는 공정 가스에 노출될 수 있다. 공정 가스 흐름율은 각 종(species)에 대해 약 1 sccm 내지 약 200 sccm 범위일 수 있고, 예를 들어 약 10 sccm 내지 약 100 sccm 범위일 수 있다. 추가적으로, COR 모듈은 30 °C 내지 100 °C 범위의 온도로 가열될 수 있고, 예를 들어 온도는 약 40 °C일 수 있다. 추가적으로, 공정 가스를 COR 모듈로 전달하기 위한 가스 분배 시스템은 약 40 °C 내지 약 100 °C 범위의 온도로 가열될 수 있고, 예를 들어 온도는 약 50 °C일 수 있다. 기판은 약 10 °C 내지 약 50 °C 범위의 온도로 유지될 수 있고, 기판 온도는 약 20 °C일 수 있다.
또한, PHT 모듈에서, 열처리 챔버가 약 50 °C 내지 약 100 °C 범위의 온도로 가열될 수 있고, 예를 들어 온도는 약 80 °C일 수 있다. 일 예시에서, 기판은 약 100 °C를 초과하는 온도로 가열될 수 있다. 대안적으로, 기판은 약 100°C 내지 약 200 °C 범위로 가열될 수 있고, 예를 들어 온도는 약 135 °C일 수 있다.
다른 예시에서, 건식 에칭 공정에서 오존과 H2O 증기의 혼합물이 사용될 수 있다. 또 다른 예시에서, 과산화 증기가 사용될 수 있다.
다른 실시예에 따르면, 화학 산화물 제거는 습식 에칭 공정을 포함할 수 있다. 습식 에칭 공정은, 예를 들어 산업 표준 SC1 및 SC2 솔루션을 이용할 수 있다.
화학 산화물 제거 공정에 이어서, 기판은 로우-k 복원(LKR) 또는 자기 조립 단층(SAM)을 포함할 수 있는 표면 개질 공정을 거칠 수 있다. 표면 개질 공정은 도 1b 내의 기판을 친수성(hydrophilic) 작용기를 포함하는 공정 가스에 노출시키는 것을 포함할 수 있다. 일 예시에서, 공정 가스는 트리메틸실란 디메틸아민(trimethylsilane dimethylamine; TMSDMA)을 포함할 수 있다. 공정 가스는 -OH기(108)를 소수성 작용기(-SiMe3)(110)로 치환함으로써 SiOx층(102) 상의 -OH기(108)와 선택적으로 반응한다. 이 치환은, 긴 인큐베이션 시간을 갖는 개질된 소수성 제2 표면(107) 상에 비해 제1 표면(103) 상의 후속 선택적 성막을 향상시킨다. 개질된 소수성 제2 표면(107)은 금속 함유 프리커서에 대한 흡착 부위를 거의 또는 전혀 포함하지 않으므로, 금속 함유 프리커서에의 노출은 제1 표면(103) 상에 비해, 개질된 소수성 제2 표면(107) 상의 긴 인큐베이션 시간 및 지연된 금속 함유 성막을 야기한다. 이는, 개질된 소수성 제2 표면(107) 상의 성막이 거의 또는 전혀 없이 제1 표면(103) 상의 금속 함유층의 선택적 형성을 가능하게 한다.
몇몇 예시에서, 소수성 작용기를 포함하는 공정 가스는 알킬 실란(alkyl silane), 알콕시실란(alkoxysilane), 알킬 알콕시실란, 알킬 실록산(siloxane), 알콕시실록산(alkoxysiloxane), 알킬 알콕시실록산, 아릴(aryl) 실란, 아실(acyl) 실란, 아릴 실록산, 아실 실록산, 실라잔(silazane), 또는 이들의 임의의 조합을 포함하는 실리콘 함유 가스를 포함할 수 있다. 몇몇 실시예에 따르면, 공정 가스는 디메틸실란 디메틸아민(dimethylsilane dimethylamine; DMSDMA), 트리메틸실란 디메틸아민(TMSDMA), 비스(디메틸아미노) 디메틸실란[bis(dimethylamino) dimethylsilane; BDMADMS], 및 다른 알킬 아민 실란으로부터 선택될 수 있다. 다른 실시예에 따르면, 공정 가스는 N,O 비스트리메틸실릴트리플루오로아세트아미드(bistrimethylsilyltrifluoroacetamide; BSTFA) 및 트리메틸실릴-피롤(trimethylsilyl-pyrrole; TMS-pyrrole)로부터 선택될 수 있다.
본 발명의 몇몇 실시예에 따르면, 공정 가스는 실라잔 화합물(compound)로부터 선택될 수 있다. 실라잔은 포화된 실리콘 질화물 혼성물이다. 이는 구조가 --O--를 대체하는 --NH―를 갖는 실록산과 유사하다. 유기 실라잔 프리커서는 Si 원자(들)에 본딩되는 적어도 하나의 알킬기를 더 포함할 수 있다. 알킬기는, 예를 들어 메틸기, 에틸기, 프로필기, 또는 부틸기, 또는 이들의 조합일 수 있다. 또한, 알킬기는 페닐기와 같은 고리모양(cyclic) 탄화수소기일 수 있다. 또한, 알킬기는 비닐기일 수 있다. 디실라잔(disilazane)은 실리콘 원자에 부착되는 1개 내지 6개의 메틸기를 갖는 또는 실리콘 원자에 부착되는 1개 내지 6개의 에틸기를 갖는 화합물이며, 또는 디실라잔 분자는 실리콘 원자에 부착되는 메틸기 및 에틸기의 조합을 갖는다.
헥사메틸디실라잔(hexamethyldisilazane; HMDS)의 구조가 아래에 도시된다.
Figure pat00001
HMDS은 Si-N-Si 구조 유닛 및 각 Si 원자에 본딩되는 3개의 메틸기를 포함한다. HMDS은 약 20 °C에서 약 20 Torr의 증기압으로 상업적으로 이용가능한 실리콘 화합물이다.
유기 실라잔 화합물의 예시가 표 1에 도시된다.
트리에틸실라잔(Triethylsilazane) SiC6H17N
트리프로필실라잔(Tripropylsilazane) SiC9H23N
트리페닐실라잔(Triphenylsilazane) SiC18H17N
테트라메틸디실라잔(Tetramethyldisilazane) Si2C4H15N
헥사메틸디실라잔(Hexamethyldisilazane) Si2C6H19N
헥사에틸디실라잔(Hexaethyldisilazane) Si2C12H31N
헥사페닐디실라잔(Hexaphenyldisilazane) Si2C36H31N
헵타메틸디실라잔(Heptamethyldisilazane) Si2C7H21N
디프로필-테트라메틸디실라잔(Dipropyl-tetramethyldisilazane) Si2C10H27N
디-n-부틸-테트라메틸디실라잔(Di-n-Butyl-tetramethyldisilazane) Si2C12H31N
디-n-옥틸-테트라메틸디실라잔(Di-n-Octyl-tetramethyldisilazane) Si2C20H47N
트리에틸-트리메틸시클로트리실라잔(Triethyl-trimethylcyclotrisilazane) Si2C9H27N3
헥사메틸시클로트리실라잔(Hexamethylcyclotrisilazane) Si3C6H21N3
헥사에틸시클로트리실라잔(Hexaethylcyclotrisilazane) Si3C12H33N3
헥사페닐시클로트리실라잔(Hexaphenylcyclotrisilazane) Si3C36H33N3
옥타메틸시클로테트라실라잔(Octamethylcyclotetrasilazane) Si4C8H28N4
옥타에틸시클로테트라실라잔(Octaethylcyclotetrasilazane) Si4C16H44N4
테트라에틸=테트라메틸시클로테트라실라잔(Tetraethyl-tetramethylcyclotetrasilazane) Si4C12H36N4
시아노프로필메틸실라잔(Cyanopropylmethylsilazane) SiC5H10N2
테트라페닐디메틸디실라잔(Tetraphenyldimethyldisilazane) Si2C26H27N
디페닐-테트라메틸디실라잔(Diphenyl-tetramethyldisilazane) Si2C16H23N
트리비닐-트리메틸시클로트리실라잔(Trivinyl-trimethylcyclotrisilazane) Si3C9H21N3
테트라비닐-테트라메틸시클로테트라실라잔(Tetravinyl-tetramethylcyclotetrasilazane) Si4C12H28N4
디비닐-테트라메틸디실라잔(Divinyl-tetramethyldisilazane) Si2C8H19N
표면 개질 공정에 이어서, 도 1c 내의 기판이 성막 가스에 노출되어, 개질된 제2 기판(107) 상이 아닌 제1 기판(103) 상에 금속 함유층(112)을 선택적으로 성막할 수 있다. 몇몇 실시예에 따르면, 금속 함유층(112)은 Ta, TaN, Ti, TiN, W, TW, Ru, Co, Mo, W, Pt, Ir, Rh, 또는 Re, 또는 이들의 조합을 포함하는 금속층 또는 금속 화합물층을 포함할 수 있다. 일 예시에서, 성막 가스는 금속 함유 프리커서 증기를 포함할 수 있다. 성막 가스는 캐리어 가스(예를 들어, 불활성 가스), 환원성 가스, 또는 캐리어 가스와 환원성 가스 둘 다를 더 포함할 수 있다.
금속 함유 프리커서 증기는 매우 다양한 Ta, Ti, 또는 W 함유 프리커서를 포함할 수 있으며, “Ta-N” 분자 내(intra-molecular) 본딩을 포함하는 Ta 함유 프리커서의 예시는 Ta(NEt2)5 (PDEAT), Ta(NMe2)5 (PDMAT), Ta(NEtMe)5 (PEMAT), Ta(NMe2)3(NCMe2Et) (TAIMATA), (tBuN)Ta(NMe2)3 (TBTDMT), (tBuN)Ta(NEt2)3 (TBTDET), (tBuN)Ta(NEtMe)3 (TBTEMT), 및 (iPrN)Ta(NEt2)3 (IPTDET)을 포함한다. Ta 함유 프리커서의 다른 예시는 “Ta-C” 분자 내 본딩, 예를 들어 Ta(η5-C5H5)2H3, Ta(CH2)(CH3)(η5-C5H5)2, Ta(η3-C3H5) (η5-C5H5)2, Ta(CH3)35-C5H5)2, Ta(CH3)45-C5(CH3)5), 또는 Ta(η5-C5(CH3)5)2H3을 포함한다. 다른 Ta 함유 프리커서는 “Ta-O” 분자 내 본딩, 예를 들어 Ta2(OEt)10 및 (Me2NCH2CH2O)Ta(OEt)4를 포함한다. TaCl5 및 TaF5는 “Ta-할로겐” 본딩을 포함하는 탄탈륨 할라이드(halide) 프리커서의 예시이다.
“Ti-N” 분자 내 본딩을 갖는 Ti 함유 프리커서의 대표적인 예시는 Ti(NEt2)4 (TDEAT), Ti(NMeEt)4 (TEMAT), Ti(NMe2)4 (TDMAT)를 포함한다. “Ti-C” 분자 내 본딩을 포함하는 Ti 함유 프리커서의 대표적인 예시는 Ti(COCH3)(η5-C5H5)2Cl, Ti(η5-C5H5)Cl2, Ti(η5-C5H5)Cl3, Ti(η5-C5H5)2Cl2, Ti(η5-C5(CH3)5)Cl3, Ti(CH3)(η5-C5H5)2Cl, Ti(η5-C9H7)2Cl2, Ti((η5-C5(CH3)5)2Cl, Ti((η5-C5(CH3)5)2Cl2, Ti(η5-C5H5)2(μ-Cl)2, Ti(η5-C5H5)2(CO)2, Ti(CH3)35-C5H5), Ti(CH3)25-C5H5)2, Ti(CH3)4, Ti(η5-C5H5)(η7-C7H7), Ti(η5-C5H5)(η8-C8H8), Ti(C5H5)25-C5H5)2, Ti((C5H5)2)2(η-H)2, Ti(η5- C5(CH3)5)2, Ti(η5- C5(CH3)5)2(H)2, 및 Ti(CH3)25- C5(CH3)5)2를 포함한다. TiCl4는 “Ti-할로겐” 본딩을 포함하는 티타늄 할라이드 프리커서의 예시이다.
텅스텐 함유(W 함유) 프리커서의 대표적인 예시는 “W-C” 분자 내 본딩을 포함하는 W(CO)6, 및 “W-할로겐” 분자 내 본딩을 포함하는 WF6을 포함한다.
금속 함유 프리커서 증기는, 루테늄(Ru) 함유 프리커서, 코발트(Co) 함유 프리커서, 몰리브데늄(Mo) 함유 프리커서, 텅스텐(W) 함유 프리커서, 백금(Pt) 함유 프리커서, 이리듐(Ir) 함유 프리커서, 로듐(Rh) 함유 프리커서, 및 레늄 함유 프리커서로부터 선택될 수 있는 금속 함유 프리커서를 포함할 수 있다. 예시적인 Ru 함유 프리커서는 Ru3(CO)12, [2,4-디메틸펜타디에닐(dimethylpentadienyl)] 에틸시클로펜타디에닐(ethylcyclopentadienyl) 루테늄 [Ru(DMPD)(EtCp)], 비스(2,4-디메틸펜타디에닐 루테늄 [Ru(DMPD)2], 4-디메틸펜타디에닐 [메틸시클로펜타디에닐(methylcyclopentadienyl)] 루테늄 [Ru(DMPD)(MeCp)], 또는 비스(에틸시클로펜타디에닐) 루테늄 [Ru(EtCp)2]을 포함한다. 예시적인 Co 프리커서는 Co2(CO)8, Co4(CO)12, CoCp(CO)2, Co(CO)3(NO), Co2(CO)6(HCCtBu), Co(acac)2, Co(Cp)2, Co(Me5Cp)2, Co(EtCp)2, 코발트(II) 헥사플루오로아세틸아세토네이트(hexafluoroacetylacetonate) 히드레이트(hydrate), 코발트 트리스(tris)[2,2,6,6-테트라메틸-3,5-헵타네디오네이트(heptanedionate)], 코발트(III) 아세틸아세토네이트, 비스[N,N'-디이소프로필아세트아미디나토(diisopropylacetamidinato)] 코발트, 및 트리카르보닐 알릴(tricarbonyl allyl) 코발트를 포함한다. 하나의 예시적인 Mo 프리커서는 Mo(CO)6이다. 예시적인 W 프리커서는 W(CO)6 및 텅스텐 할라이드(WX6, 여기서 X는 할로겐)이다. 예시적인 Pt 프리커서는 Pt(CO)2Cl2, Pt(acac)2, Me2PtC5H5, Pt(PF3)4, 및 MeCpPtMe3을 포함한다. 예시적인 Ir 프리커서는 Ir4(CO)12, Ir(allyl)3, (메틸시클로펜타디에닐)[1,5-시클로옥타디엔(cyclooctadiene)] 이리듐(I), (C6H7)(C8H12)Ir, 및 IrCl3을 포함한다. 예시적인 Rh 프리커서는 Rh(acac)(CO)2, (η5-C5H5)Rh(H2C=CH2)2, (η5-C5H5)Rh(CO)2, 및 RhCl3을 포함한다. 하나의 예시적인 Re 프리커서는 Re2(CO)10이다. 본 발명의 실시예에서 다수의 다른 금속 함유 프리커서가 사용될 수 있다는 점이 당업자에 의해 이해될 것이다.
도 2a 내지 도 2d는 본 발명의 실시예에 따른 기판을 프로세싱하기 위한 방법의 개략적인 단면도를 도시한다. 도 2a는 제1 표면(203)을 갖는 초기 금속 함유층(204)과 제2 표면(205)을 갖는 SiOx층(202)을 포함하는 기판을 도시한다. 기판은 SiOx층(202) 상의 자연 산화물층(206) 및 초기 금속 함유층(204) 상의 산화된 금속 함유층(214) 더 포함한다. 자연 산화물층(106) 및 산화된 금속 함유층(214)은 기판을 산화시키는 대기 노출에 의해 형성될 수 있다. 대안적으로, 자연 산화물층(206) 및 산화된 금속 함유층(214)은 기판 상에 배치되는 화학 산화물층을 포함할 수 있다. 다른 실시예에 따르면, SiOx층(202)은 SiN, SiOH, SiCOH, 하이-k 물질, 및 로우-k 물질 중 하나 이상을 더 포함할 수 있거나 이들에 의해 대체될 수 있다. 초기 금속 함유층(204)의 예시는 Cu, Al, Ta, TaN, Ti, TiN, W, TW, Ru, Co, Mo, W, Pt, Ir, Rh, 또는 Re, 또는 이들의 조합을 포함하는 금속층 및 금속 함유 화합물을 포함한다.
도 2b는 건식 에칭 공정 또는 습식 에칭 공정을 포함할 수 있는 화학 산화물 제거 공정 이후의 기판을 도시한다. 화학 산화물 제거 공정은 SiOx층(202)으로부터 자연 산화물층(206)을 제거하고 SiOx층(202)을 히드록실기(-OH)(208)로 종단화한다. 금속 함유층(204) 상의 산화된 금속 함유층(214)이 또한 화학 산화물 제거 공정에 의해 제거될 수 있다.
화학 산화물 제거 공정에 이어서, 기판은 로우-k 복원(LKR) 또는 자기 조립 단층(SAM)을 포함할 수 있는 표면 개질 공정을 거칠 수 있다. 표면 개질 공정은 도 2b 내의 기판을 도 1c를 참조하여 위에서 설명된 친수성 작용기를 포함하는 공정 가스에 노출시키는 것을 포함할 수 있다. 공정 가스는 -OH기(208)를 소수성 작용기(-SiMe3)(210)로 치환함으로써 SiOx층(202) 상의 -OH기(108)와 선택적으로 반응한다. 결과적인 기판이 도 2c에 도시된다.
그 후, 도 2c 내의 기판이 성막 가스에 노출되어, 개질된 제2 기판(207) 상이 아닌 제1 기판(203) 상에 금속 함유층(212)을 선택적으로 성막할 수 있다. 몇몇 실시예에 따르면, 금속 함유층은 Ta, TaN, Ti, TiN, W, TW, Ru, Co, Mo, W, Pt, Ir, Rh, 또는 Re, 또는 이들의 조합을 포함하는 금속층 또는 금속 화합물층을 포함할 수 있다.
도 3a 내지 도 3d는 본 발명의 실시예에 따른 기판을 프로세싱하기 위한 방법의 개략적인 단면도를 도시한다. 도 3a는 제1 표면(303)을 갖는 초기 금속 함유층(304)과 제2 표면(305)을 갖는 Si층(302)을 포함하는 기판을 도시한다. 기판은 Si층(302) 상의 자연 산화물층(306) 및 초기 금속 함유층(304) 상의 산화된 금속 함유층(314) 더 포함한다. 자연 산화물층(306) 및 산화된 금속 함유층(314)은 기판을 산화시키는 대기 노출에 의해 형성될 수 있다. 대안적으로, 자연 산화물층(306) 및 산화된 금속 함유층(314)은 기판 상에 배치되는 화학 산화물층을 포함할 수 있다. 다른 실시예에 따르면, Si층(302)은 SiN, SiOH, SiCOH, 하이-k 물질, 및 로우-k 물질 중 하나 이상을 더 포함할 수 있거나 이들에 의해 대체될 수 있다. 초기 금속 함유층(304)의 예시는 Cu, Al, Ta, TaN, Ti, TiN, W, TW, Ru, Co, Mo, W, Pt, Ir, Rh, 또는 Re, 또는 이들의 조합과 같은 금속층 및 금속 함유 화합물을 포함한다.
도 3b는 건식 에칭 공정 또는 습식 에칭 공정을 포함할 수 있는 화학 산화물 제거 공정 이후의 기판을 도시한다. 화학 산화물 제거 공정은 Si층(302)으로부터 자연 산화물층(306)을 부분적으로 제거하고 남아있는 자연 산화물층(306)을 히드록실기(-OH)(308)로 종단화한다. 초기 금속 함유층(304) 상의 산화된 금속 함유층(314)이 또한 화학 산화물 제거 공정에 의해 제거될 수 있다.
화학 산화물 제거 공정에 이어서, 기판은 로우-k 복원(LKR) 또는 자기 조립 단층(SAM)을 포함할 수 있는 표면 개질 공정을 거칠 수 있다. 표면 개질 공정은 도 3b 내의 기판을 도 1c를 참조하여 위에서 설명된 친수성 작용기를 포함하는 공정 가스에 노출시키는 것을 포함할 수 있다. 공정 가스는 -OH기(308)를 소수성 작용기(-SiMe3)(310)로 치환함으로써 Si층(302) 상의 -OH기(308)와 선택적으로 반응한다. 결과적인 기판이 도 3c에 도시된다.
그 후, 도 3c 내의 기판이 성막 가스에 노출되어, 개질된 소수성 제2 기판(207) 상이 아닌 제1 기판(203) 상에 금속 함유층(212)을 선택적으로 성막할 수 있다. 몇몇 실시예에 따르면, 금속 함유층(312)은 Ta, TaN, Ti, TiN, W, TW, Ru, Co, Mo, W, Pt, Ir, Rh, 또는 Re, 또는 이들의 조합을 포함하는 금속층 또는 금속 함유 화합물층을 포함할 수 있다.
도 4는 본 발명의 실시예에 따른 Si 상의 Ru 금속의 선택적 성막에 대한 실험적 결과를 도시한다. 기판은 양각된(raised) Si 피처, 및 양각된 Si 피처들간의 음각된(recessed) 피처를 채우는 SiO2층을 포함했다. 준완성(as-received) 기판은 Si 피처 상의 그리고 SiO2층 상의 자연 산화물층을 더 포함했다. 기판은 화학 산화물 제거를 거쳤으며, 그 후 SiO2층의 표면 개질 공정을 거쳤다. 그 후 Ru3(CO)12 및 CO 캐리어 가스의 노출을 사용하는 CVD에 의해 Si층 상에 Ru 금속층이 선택적으로 성막되었다. 단면 후방산란 전자(backscattered electron; BSE) 이미지가 Si층 상의 선택적 CVD Ru 금속층을 보여준다.
다른 예시에서, 본 발명의 실시예에 따라 Si 상에 TaN층 및 TiN층이 선택적으로 성막되었다. 기판은 양각된 Si 피처, 및 양각된 Si 피처들간의 음각된 피처를 채우는 SiO2층을 포함했다. 준완성 기판은 Si 피처 상의 그리고 SiO2층 상의 자연 산화물층을 더 포함했다. 기판은 화학 산화물 제거를 거쳤으며, 그 후 SiO2층의 표면 개질 공정을 거쳤다. 그 후, TBTEMT 및 NH3의 교호(alternating) 가스 노출을 사용하여 TaN층이 성막되었다. 유사하게, TEMAT 및 NH3의 교호 가스 노출을 사용하여 TiN층이 성막되었다. 양각된 Si 피처 상의 선택적 TaN 및 TiN 성막을 확인하기 위해 주사 전자 현미경, BSE, 및 투과 전자 현미경(transmission electron microscopy; TEM)이 사용되었다.
표면 처리를 사용하는 상이한 물질 상의 선택적 성막을 위한 방법이 다양한 실시예에서 설명되었다. 본 발명의 실시예의 상술한 설명은 예시 및 설명의 목적을 위해 제시되었다. 이는 포괄적으로 되거나 또는 본 발명을 개시된 엄밀한 형태에 제한하도록 의도되지 않는다. 이 설명 및 이어지는 청구항은 설명 목적을 위해 사용되고 제한적으로 해석되지 않아야 할 용어를 포함한다. 당업자는 위에서의 교시에 비추어 많은 변형예 및 변경예가 가능하다는 점을 이해할 수 있다. 당업자는 도면에 도시된 다양한 컴포넌트에 대한 다양한 균등한 조합 및 대체를 인식할 것이다. 따라서 본 발명의 범위가 이 상세한 설명에 의해서가 아닌 본원에 첨부된 청구항에 의해 제한되는 것으로 의도된다.

Claims (20)

  1. 기판 처리 방법으로서,
    제1 표면을 갖는 제1 물질층과 제2 표면을 갖는 제2 물질층을 포함하는 기판을 제공하는 제공 단계;
    상기 제2 표면을 히드록실기로 종단화하는 화학 산화물 제거 공정을 실행하는 실행 단계;
    상기 제2 표면을 소수성 작용기를 포함하는 공정 가스에 노출시킴으로써 개질시키는 단계로서, 상기 제2 표면 상의 히드록실기를 소수성 작용기로 치환하는 것인 개질 단계;
    상기 기판을 성막 가스(deposition gas)에 노출시킴으로써 상기 개질된 제2 표면 상이 아닌 상기 제1 표면 상에 금속 함유층을 선택적으로 성막하는 성막 단계
    를 포함하는 기판 처리 방법.
  2. 제1항에 있어서, 상기 제1 물질층은 실리콘층을 포함하고, 상기 제2 물질층은 유전체층을 포함하는 것인 기판 처리 방법.
  3. 제2항에 있어서, 상기 실행 단계는 상기 실리콘층 및 상기 유전체층으로부터 산화물층을 제거하는 것인 기판 처리 방법.
  4. 제2항에 있어서, 상기 유전체층은 SiO, SiN, SiOH, SiCOH, 하이-k 물질 또는 로우-k 물질 중 하나 이상을 포함하는 것인 기판 처리 방법.
  5. 제1항에 있어서, 상기 제1 물질층은 초기 금속 함유층을 포함하고, 상기 제2 물질층은 유전체층을 포함하는 것인 기판 처리 방법.
  6. 제5항에 있어서, 상기 실행 단계는 상기 초기 금속 함유층 및 상기 유전체층으로부터 산화물층을 제거하는 것인 기판 처리 방법.
  7. 제5항에 있어서, 상기 유전체층은 SiO, SiN, SiOH, SiCOH, 하이-k 물질 또는 로우-k 물질 중 하나 이상을 포함하는 것인 기판 처리 방법.
  8. 제5항에 있어서, 상기 초기 금속 함유층은, Cu, Al, Ta, TaN, Ti, TiN, W, TiW, Ru, Co, Mo, W, Pt, Ir, Rh, 또는 Re, 또는 이들의 조합을 포함하는 금속층 또는 금속 화합물층을 포함하는 것인 기판 처리 방법.
  9. 제1항에 있어서, 상기 제1 물질층은 초기 금속 함유층을 포함하고, 상기 제2 물질층은 실리콘층을 포함하는 것인 기판 처리 방법.
  10. 제9항에 있어서, 상기 실행 단계는 상기 초기 금속 함유층 및 상기 실리콘층으로부터 산화물층을 제거하는 것인 기판 처리 방법.
  11. 제10항에 있어서, 상기 산화물층은, 상기 기판 상에 성막되는 자연 산화물층 또는 화학 산화물층을 포함하는 것인 기판 처리 방법.
  12. 제9항에 있어서, 상기 초기 금속 함유층은, Cu, Al, Ta, TaN, Ti, TiN, W, TiW, Ru, Co, Mo, W, Pt, Ir, Rh, 또는 Re, 또는 이들의 조합을 포함하는 금속층 또는 금속 화합물층을 포함하는 것인 기판 처리 방법.
  13. 제1항에 있어서, 상기 공정 가스는, 알킬 실란, 알콕시실란, 알킬 알콕시실란, 알킬 실록산, 알콕시실록산, 알킬 알콕시실록산, 아릴 실란, 아실 실란, 아릴 실록산, 아실 실록산, 실라잔, 또는 이들의 임의의 조합에서 선택된 실리콘 함유 가스를 포함하는 것인 기판 처리 방법.
  14. 제1항에 있어서, 상기 금속 함유층은 금속층 또는 금속 화합물층을 포함하는 것인 기판 처리 방법.
  15. 제14항에 있어서, 상기 금속층 및 상기 금속 화합물층은 Al, Ta, TaN, Ti, TiN, W, TiW, Ru, Co, Mo, W, Pt, Ir, Rh, 또는 Re, 또는 이들의 조합을 포함하는 것인 기판 처리 방법.
  16. 제1항에 있어서, 상기 화학 산화물 제거 공정은 상기 기판을 HF 및 NH3 가스에 노출시키는 것을 포함하는 것인 기판 처리 방법.
  17. 기판 처리 방법으로서,
    제1 표면을 갖는 실리콘층과 제2 표면을 갖는 SiOx층을 포함하는 기판을 제공하는 제공 단계;
    상기 제2 표면을 히드록실기로 종단화하는 화학 산화물 제거 공정을 실행하는 실행 단계;
    상기 제2 표면을 소수성 작용기를 포함하는 공정 가스에 노출시킴으로써 개질시키는 단계로서, 제2 표면 상의 히드록실기를 소수성 작용기로 치환하는 것인 개질 단계;
    상기 기판을 금속 함유 성막 가스(deposition gas)에 노출시킴으로써 상기 개질된 제2 표면 상이 아닌 상기 제1 표면 상에 금속층 또는 금속 화합물층을 선택적으로 성막하는 성막 단계
    를 포함하는 기판 처리 방법.
  18. 제17항에 있어서, 상기 화학 산화물 제거 공정은 상기 기판을 HF 및 NH3 가스에 노출시키는 것을 포함하는 것인 기판 처리 방법.
  19. 제17항에 있어서, 상기 금속층 및 상기 금속 화합물층은 Al, Ta, TaN, Ti, TiN, W, TiW, Ru, Co, Mo, W, Pt, Ir, Rh, 또는 Re, 또는 이들의 조합을 포함하는 것인 기판 처리 방법.
  20. 제17항에 있어서, 상기 화학 산화물 제거 공정은 상기 제1 표면으로부터 자연 산화물층을 제거하고, 상기 개질된 제2 표면과 공정 가스의 후속 반응성을 향상시키는 것인 기판 처리 방법.
KR1020170067619A 2016-05-31 2017-05-31 표면 처리에 의한 선택적 퇴적 KR20170135760A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662343753P 2016-05-31 2016-05-31
US62/343,753 2016-05-31

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190094267A Division KR102249346B1 (ko) 2016-05-31 2019-08-02 표면 처리에 의한 선택적 퇴적

Publications (1)

Publication Number Publication Date
KR20170135760A true KR20170135760A (ko) 2017-12-08

Family

ID=60420404

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170067619A KR20170135760A (ko) 2016-05-31 2017-05-31 표면 처리에 의한 선택적 퇴적
KR1020190094267A KR102249346B1 (ko) 2016-05-31 2019-08-02 표면 처리에 의한 선택적 퇴적

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020190094267A KR102249346B1 (ko) 2016-05-31 2019-08-02 표면 처리에 의한 선택적 퇴적

Country Status (3)

Country Link
US (1) US10378105B2 (ko)
JP (2) JP2017222928A (ko)
KR (2) KR20170135760A (ko)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019169335A1 (en) * 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR20200004245A (ko) * 2018-07-02 2020-01-13 도쿄엘렉트론가부시키가이샤 선택적으로 막을 형성하는 방법 및 시스템
KR20200091945A (ko) * 2017-12-22 2020-07-31 어플라이드 머티어리얼스, 인코포레이티드 전도성 표면들 상에 차단 층들을 증착시키기 위한 방법들
KR20200111103A (ko) * 2019-03-18 2020-09-28 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR20200112686A (ko) * 2019-03-20 2020-10-05 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR20200140432A (ko) * 2019-06-05 2020-12-16 인천대학교 산학협력단 선택적 증착에 의한 메모리 소자 결함 회복 방법
KR20210021050A (ko) * 2018-07-17 2021-02-24 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20210031530A (ko) * 2018-08-10 2021-03-19 어플라이드 머티어리얼스, 인코포레이티드 자기 조립 단층들을 사용하는 선택적 증착을 위한 방법들
WO2021118993A1 (en) * 2019-12-10 2021-06-17 Tokyo Electron Limited Self-assembled monolayers as sacrificial capping layers
KR20220116244A (ko) * 2019-12-27 2022-08-22 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US11823909B2 (en) 2018-01-16 2023-11-21 Lam Research Corporation Selective processing with etch residue-based inhibitors

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10580644B2 (en) 2016-07-11 2020-03-03 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
KR102358527B1 (ko) * 2017-12-17 2022-02-08 어플라이드 머티어리얼스, 인코포레이티드 선택적 증착에 의한 실리사이드 막들
CN112041966A (zh) * 2018-03-30 2020-12-04 朗姆研究公司 使用碳氟化合物阻止层的形貌选择性和区域选择性ald
TW201943881A (zh) * 2018-04-13 2019-11-16 美商應用材料股份有限公司 選擇性原子層沉積的方法
JP7146690B2 (ja) * 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
SG11202100492RA (en) * 2018-07-17 2021-03-30 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus, and program
US10665461B2 (en) 2018-09-24 2020-05-26 International Business Machines Corporation Semiconductor device with multiple threshold voltages
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US10727317B2 (en) 2018-10-04 2020-07-28 International Business Machines Corporation Bottom contact formation for vertical transistor devices
US10923401B2 (en) 2018-10-26 2021-02-16 International Business Machines Corporation Gate cut critical dimension shrink and active gate defect healing using selective deposition
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10886462B2 (en) 2018-11-19 2021-01-05 International Business Machines Corporation Encapsulated memory pillars
US20200251340A1 (en) * 2019-02-04 2020-08-06 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
JP2020147792A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 成膜方法および成膜装置
US20200328078A1 (en) * 2019-04-12 2020-10-15 Tokyo Electron Limited Integrated in-situ dry surface preparation and area selective film deposition
US11993844B2 (en) * 2019-04-24 2024-05-28 The Regents Of The University Of California Passivation of silicon dioxide defects for atomic layer deposition
US11075266B2 (en) 2019-04-29 2021-07-27 International Business Machines Corporation Vertically stacked fin semiconductor devices
US11133195B2 (en) 2019-04-30 2021-09-28 International Business Machines Corporation Inverse tone pillar printing method using polymer brush grafts
US20200362458A1 (en) * 2019-05-14 2020-11-19 Applied Materials, Inc. Deposition of rhenium-containing thin films
JP7135190B2 (ja) * 2019-07-31 2022-09-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP7195239B2 (ja) 2019-09-24 2022-12-23 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20220103735A (ko) * 2019-11-20 2022-07-22 메르크 파텐트 게엠베하 금속-함유 필름을 선택적으로 형성하기 위한 화합물 및 방법
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
JP7486321B2 (ja) * 2020-02-14 2024-05-17 東京エレクトロン株式会社 成膜方法
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
US11562908B2 (en) 2020-04-28 2023-01-24 International Business Machines Corporation Dielectric structure to prevent hard mask erosion
US20220064784A1 (en) * 2020-09-03 2022-03-03 Applied Materials, Inc. Methods of selective deposition
US20230386831A1 (en) * 2020-09-25 2023-11-30 Lam Research Corporation Selective deposition of metal oxides using silanes as an inhibitor
JP7204718B2 (ja) 2020-09-29 2023-01-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US20220139776A1 (en) * 2020-11-03 2022-05-05 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal
US11424120B2 (en) 2021-01-22 2022-08-23 Tokyo Electron Limited Plasma etching techniques
JP7305700B2 (ja) 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2024519862A (ja) 2021-05-19 2024-05-21 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング Ru(I)前駆体を利用することによるルテニウム膜の選択的堆積法
JP7374961B2 (ja) * 2021-07-27 2023-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP7443312B2 (ja) * 2021-09-29 2024-03-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム、及び基板処理装置
JP7305013B2 (ja) * 2021-09-29 2023-07-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11967523B2 (en) 2021-10-11 2024-04-23 Applied Materials, Inc. Self-assembled monolayer for selective deposition
JP2024061697A (ja) * 2021-10-26 2024-05-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ルテニウム含有層の形成方法及び積層体
WO2023076116A1 (en) 2021-10-27 2023-05-04 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
WO2023114730A1 (en) * 2021-12-16 2023-06-22 Lam Research Corporation Aqueous acid development or treatment of organometallic photoresist
US20230323543A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Integrated cleaning and selective molybdenum deposition processes
US20240145232A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Benzyl compound passivation for selective deposition and selective etch protection
WO2024112420A1 (en) * 2022-11-22 2024-05-30 Entegris, Inc. Methods for selective deposition of precursor materials and related devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006253518A (ja) * 2005-03-14 2006-09-21 Ulvac Japan Ltd 選択W−CVD法及びCu多層配線の製作法
JP2007049174A (ja) * 1999-06-04 2007-02-22 Seiko Epson Corp 強誘電体メモリ素子及びその製造方法
JP2008141204A (ja) * 2007-11-30 2008-06-19 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2013526012A (ja) * 2010-03-30 2013-06-20 東京エレクトロン株式会社 半導体装置のための金属含有キャップ層の表面洗浄及び選択的堆積

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1398834A3 (de) * 2002-09-12 2006-03-22 Infineon Technologies AG Elektronisches Bauteil mit Spannungsversorgungsstruktur und Verfahren zu dessen Herstellung
US20090197405A1 (en) * 2005-12-07 2009-08-06 Nxp B.V. Method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
KR100878015B1 (ko) * 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
US7799681B2 (en) * 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US20100024021A1 (en) * 2008-07-23 2010-01-28 The Quantum Group, Inc. System and method for secure operation of a medical records reporting system
JP4968861B2 (ja) * 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
JP5359642B2 (ja) * 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
CA2808326A1 (en) 2010-06-01 2011-12-08 Jay Wei Method and apparatus for enhanced eye measurement

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007049174A (ja) * 1999-06-04 2007-02-22 Seiko Epson Corp 強誘電体メモリ素子及びその製造方法
JP2006253518A (ja) * 2005-03-14 2006-09-21 Ulvac Japan Ltd 選択W−CVD法及びCu多層配線の製作法
JP2008141204A (ja) * 2007-11-30 2008-06-19 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2013526012A (ja) * 2010-03-30 2013-06-20 東京エレクトロン株式会社 半導体装置のための金属含有キャップ層の表面洗浄及び選択的堆積

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200091945A (ko) * 2017-12-22 2020-07-31 어플라이드 머티어리얼스, 인코포레이티드 전도성 표면들 상에 차단 층들을 증착시키기 위한 방법들
KR20230035699A (ko) * 2017-12-22 2023-03-14 어플라이드 머티어리얼스, 인코포레이티드 전도성 표면들 상에 차단 층들을 증착시키기 위한 방법들
US11823909B2 (en) 2018-01-16 2023-11-21 Lam Research Corporation Selective processing with etch residue-based inhibitors
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
WO2019169335A1 (en) * 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR20200004245A (ko) * 2018-07-02 2020-01-13 도쿄엘렉트론가부시키가이샤 선택적으로 막을 형성하는 방법 및 시스템
KR20210021050A (ko) * 2018-07-17 2021-02-24 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20210031530A (ko) * 2018-08-10 2021-03-19 어플라이드 머티어리얼스, 인코포레이티드 자기 조립 단층들을 사용하는 선택적 증착을 위한 방법들
KR20200111103A (ko) * 2019-03-18 2020-09-28 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR20220032034A (ko) * 2019-03-18 2022-03-15 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치, 및 프로그램
KR20200112686A (ko) * 2019-03-20 2020-10-05 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR20200140432A (ko) * 2019-06-05 2020-12-16 인천대학교 산학협력단 선택적 증착에 의한 메모리 소자 결함 회복 방법
WO2021118993A1 (en) * 2019-12-10 2021-06-17 Tokyo Electron Limited Self-assembled monolayers as sacrificial capping layers
US11915973B2 (en) 2019-12-10 2024-02-27 Tokyo Electron Limited Self-assembled monolayers as sacrificial capping layers
KR20220116244A (ko) * 2019-12-27 2022-08-22 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치

Also Published As

Publication number Publication date
KR20190095212A (ko) 2019-08-14
JP2019165256A (ja) 2019-09-26
KR102249346B1 (ko) 2021-05-06
US20170342553A1 (en) 2017-11-30
JP6827500B2 (ja) 2021-02-10
US10378105B2 (en) 2019-08-13
JP2017222928A (ja) 2017-12-21

Similar Documents

Publication Publication Date Title
KR102249346B1 (ko) 표면 처리에 의한 선택적 퇴적
US10580644B2 (en) Method and apparatus for selective film deposition using a cyclic treatment
KR101862419B1 (ko) 반도체 소자를 위한 표면 세척 및 금속-함유 캡층의 선택적 증착
US8242019B2 (en) Selective deposition of metal-containing cap layers for semiconductor devices
US10014213B2 (en) Selective bottom-up metal feature filling for interconnects
TW202307244A (zh) 基材上選擇性沉積金屬薄膜之方法
JP2008532271A (ja) 原子層堆積のための表面のプラズマ前処理
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
JP2007510054A (ja) 半導体基板上の、超臨界流体による物質の蒸着
KR20230024298A (ko) 표면 세정 공정을 이용한 영역 선택적 증착 방법
US11170992B2 (en) Area selective deposition for cap layer formation in advanced contacts
CN100505223C (zh) 微电子互连结构体中的多层覆盖阻隔层
US7037823B2 (en) Method to reduce silanol and improve barrier properties in low k dielectric ic interconnects
CN110838466B (zh) 半导体器件和形成半导体器件的方法
US20240213093A1 (en) Catalyst-enhanced chemical vapor deposition
Jiang et al. Recent patents on Cu/low-k dielectrics interconnects in integrated circuits
TW202242964A (zh) 利用低電阻金屬填充半導體裝置中之凹陷特徵部的方法
WO2023164685A1 (en) Selective inhibition for selective metal deposition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2019101002612; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20190802

Effective date: 20200702