KR20230024298A - 표면 세정 공정을 이용한 영역 선택적 증착 방법 - Google Patents

표면 세정 공정을 이용한 영역 선택적 증착 방법 Download PDF

Info

Publication number
KR20230024298A
KR20230024298A KR1020227046196A KR20227046196A KR20230024298A KR 20230024298 A KR20230024298 A KR 20230024298A KR 1020227046196 A KR1020227046196 A KR 1020227046196A KR 20227046196 A KR20227046196 A KR 20227046196A KR 20230024298 A KR20230024298 A KR 20230024298A
Authority
KR
South Korea
Prior art keywords
metal
gas
film
substrate
containing liner
Prior art date
Application number
KR1020227046196A
Other languages
English (en)
Inventor
칸다바라 엔. 타필리
슈지 아즈모
유미코 가와노
신이치 이케
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20230024298A publication Critical patent/KR20230024298A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

영역 선택적 증착을 위한 기판 처리 방법. 방법은 금속 막, 금속 함유 라이너 및 유전체 막을 포함하는 기판을 제공하는 단계, 1) N2 가스와 H2 가스, 2) N2 가스 후에 H2 가스, 또는 3) H2 가스 후에 N2 가스를 포함하는 플라즈마 여기 세정 가스에 기판을 노출시키는 단계, 금속 막과 금속 함유 라이너 상에 차단 층을 형성하는 단계, 및 유전체 막 상에 물질 막을 선택적으로 증착하는 단계를 포함한다.

Description

표면 세정 공정을 이용한 영역 선택적 증착 방법
관련 특허 및 출원에 대한 상호 참조
본 출원은 미국 가특허 출원 번호 63/040,483(출원일: 2020년 6월 17일, 전체 내용이 본 명세서에 포함됨)에 대한 우선권 및 그 출원일의 이점을 주장한다.
기술 분야
본 발명은 반도체 처리 및 반도체 디바이스에 관한 것으로, 보다 상세하게는 표면 세정 공정을 사용하여 기판 상에 영역 선택적 막을 형성하는 방법에 관한 것이다.
디바이스 크기가 작아짐에 따라 반도체 디바이스 제조의 복잡성이 증가하고 있다. 반도체 디바이스를 생산하는 비용도 증가하고 있으며 비용 효율적인 솔루션과 혁신이 필요하다. 더 작은 트랜지스터가 제조됨에 따라 임계 치수(CD) 또는 패터닝된 특징부의 해상도는 생산하기가 점점 더 어려워지고 있다. 박막을 선택적으로 증착하는 것이 고도로 확장된 기술 노드에서 패터닝할 때 핵심 단계이다. 상이한 물질 표면에 선택적인 막 형성을 제공하는 새로운 증착 방법이 필요하다.
기판 상에 영역 선택적 증착을 위한 기판 처리 방법. 일 실시예에 따르면, 방법은 금속 막, 금속 함유 라이너 및 유전체 막을 포함하는 기판을 제공하는 단계, 1) N2 가스와 H2 가스, 2) N2 가스 후에 H2 가스, 또는 3) H2 가스 후에 N2 가스를 포함하는 플라즈마 여기 세정 가스에 기판을 노출시키는 단계, 금속 막과 금속 함유 라이너 상에 차단 층을 형성하는 단계, 및 유전체 막 상에 물질 막을 선택적으로 증착하는 단계를 포함한다.
본 발명의 실시예 및 이에 수반되는 많은 장점은 특히 첨부된 도면과 함께 고려될 때 이하의 상세한 설명을 참조하여 보다 완전히 이해될 수 있을 것이다.
도 1은 본 발명의 일 실시예에 따라 기판 상에 막을 선택적으로 형성하는 방법에 대한 공정 흐름도이다.
도 2a 내지 도 2e는 본 발명의 일 실시예에 따라 기판 상에 막을 선택적으로 형성하는 방법의 개략적인 단면도를 도시한다.
도 3a 및 도 3b는 본 발명의 일 실시예에 따라 기판 상에 막을 선택적으로 형성하는 실험 결과를 도시한다.
도 4a 및 도 4b는 기판 상에 막을 비선택적으로 형성하는 실험 결과를 도시한다.
본 발명의 실시예는 기판 상에 영역 선택적 증착을 수행하기 위한 방법을 제공한다. 본 발명의 실시예는 원자층 증착(ALD), 화학 기상 증착(CVD) 및 스핀-온 증착과 같은 표면 민감성 증착 공정에 적용될 수 있다. 영역 선택적 증착은 기존의 리소그래피 및 에칭 공정에 비해 감소된 수의 처리 단계를 제공하고, 반도체 디바이스에서 라인 간 항복 및 전기 누설 성능에 대해 개선된 마진을 제공할 수 있다.
이제 도 1 및 도 2a 내지 도 2e를 참조하면, 공정 흐름도(1)는 (100)에서 표면(204A)을 갖는 금속 막(204), 표면(202A)을 갖는 금속 함유 라이너(202), 및 표면(200A)을 갖는 유전체 막(200)을 포함하는 기판(2)을 제공하는 단계를 포함한다. 도 2a에 도시된 예에서, 들어오는 평탄화된 기판(2)은 동일한 수평면에서 표면(200A, 202A 및 204A)을 갖는다. 그러나, 다른 예에서, 표면(200A, 202A 및 204A) 중 하나 이상은 수직으로 오프셋될 수 있다. 도 2a에 도시된 예시적인 기판(2)은 금속 막(204)을 둘러싸는 금속 함유 라이너(202) 및 이 금속 함유 라이너(202)를 둘러싸는 유전체 막(200)을 갖는다. 이러한 유형의 막 구조는 일반적으로 금속 함유 라이너(202)가 금속 막(204)과 유전체 막(200) 사이에 금속 막(204)을 위한 확산 장벽, 증착 시드 층 또는 이 둘 모두를 형성하는 집적 회로에서 발견된다. 그러나, 본 명세서에 기술된 방법은 표면이 노출된 2개 이상의 인접한 물질을 갖는 다양한 다른 막 구조에도 사용될 수 있다.
금속 막(204)은 금속 상호연결부에서 발견되는 순수한 또는 거의 순수한 저저항 금속, 예를 들어, Cu, Al, Ta, Ti, W, Ru, Co, Ni, Mo, Rh 또는 Ir을 포함할 수 있다. 유전체 막(200)은 예를 들어 SiO2, 저유전율(low-k) 물질 또는 고유전율(high-k) 물질을 포함할 수 있다. 일례에서, 유전체 막(200)은 금속 원소를 포함하지 않는다. 금속 함유 라이너(202)는 예를 들어 금속 질화물(예를 들어, TiN 또는 TaN)과 같은 금속 화합물을 함유할 수 있다. 다른 예에서, 금속 함유 라이너(202)는 금속 화합물 층과 금속 층을 함유하는 적층체(예를 들어, TaN/Ta, TaN/Co 또는 TaN/Ir)를 포함할 수 있다. 일례에서, 유전체 막(200)은 SiO2 또는 저유전율 물질을 포함하고, 금속 함유 라이너는 TaN/Ta, TaN/Co 또는 TaN/Ir을 포함하는 적층체를 포함하고, 금속은 Cu를 포함한다. 다른 예에서, 유전체 막은 SiO2 또는 저유전율 물질을 포함하고, 금속 함유 라이너는 TaN을 포함하고, 금속은 Ru 또는 Co를 포함한다.
도 2a에 도시된 예에서, 들어오는 평탄화된 기판(2)은 동일한 수평면에서 표면(200A, 202A 및 204A)을 갖는다. 평탄화 공정은 연마 패드와 화학적 슬러리를 사용하는 화학 기계적 연마(CMP) 공정을 포함할 수 있다. CMP 공정은 평탄화된 기판(2) 상에 연마 잔류물 및 산화된 물질을 남길 수 있고, 이러한 오염물을 제거하기 위해 기체 표면 세정 공정이 사용될 수 있다.
도 2a는 영역 선택적 증착을 수행하기 전에 표면 세정 공정에서 제거될 필요가 있는, 평탄화된 기판(2)의 표면 상의 연마 잔류물(207) 및 금속 함유 오염물(209)(예를 들어, 산화된 Cu)을 개략적으로 도시한다. 표면 세정 공정은 또한 영역 선택적 증착을 위해 원하는 표면 종단을 제공할 수 있거나, 원하는 표면 종단을 달성하기 위해 별도의 표면 개질 공정이 수행될 수 있다. 표면 종단의 일례는 유전체 막(200)의 표면(200A) 상의 수산기(-OH)의 형성을 포함한다.
표면 세정 공정은 노출된 표면으로부터 잔류물(207)과 금속 함유 오염물(209)을 효과적으로 제거하는 시간 기간 동안 기판을 플라즈마 여기 세정 가스에 노출시키는 단계를 포함할 수 있다. 또한, 표면 세정 공정은 노출된 금속 함유 라이너(202)를 화학적으로 감소시킬 수 있다. 예를 들어, TaN 또는 산화된 TaN 금속 함유 라이너(202)의 Ta 금속 함량은 표면 세정 공정에 의해 증가할 수 있으며, 이에 의해 금속 막(204)의 화학적 조성과 보다 유사할 수 있고, 이는 유전체 막(200)에 비해 금속 함유 라이너(202)와 금속 막(204) 상에 차단 층을 선택적으로 형성하는 것을 개선할 수 있다. 본 발명의 실시예에 따르면, 표면 세정 공정은 1) N2 가스와 H2 가스, 2) N2 가스 후에 H2 가스, 또는 3) H2 가스 후에 N2 가스를 포함하는 플라즈마 여기 세정 가스에 기판(2)을 노출시키는 단계를 포함한다. 1)에서의 노출은 플라즈마 여기 N2 가스와 플라즈마 여기 H2 가스의 동시 노출을 포함하지만, 2) 및 3)에서는 플라즈마 여기 H2 가스 노출과 플라즈마 여기 N2 가스 노출 사이에 시간적 중첩은 없다. 기판(2)의 물질을 손상시키지 않는 플라즈마 조건이 선택될 수 있다. 생성된 깨끗한 기판(2)이 도 2b에 개략적으로 도시되어 있다.
(104)에서, 방법은 금속 막(200)과 금속 함유 라이너(202) 상에 차단 층(201)을 형성하는 단계를 포함한다. 이는 도 2c에 개략적으로 도시되어 있다. 차단 층(201)은 영역 선택적 증착 공정에서 금속 막(200)과 금속 함유 라이너(202) 상에 물질 막이 후속 증착되는 것을 물리적으로 방지하거나 감소시킬 수 있다. 일 실시예에 따르면, 차단 층(201)은 유전체 막(200)에 대해 금속 막(204)과 금속 함유 라이너(202) 상에 선택적으로 형성되는 자기 조립된 모노층(SAM: self-assembled monolayer)을 포함한다. 차단 층(201)은 SAM을 선택적으로 형성할 수 있는 분자를 포함하는 반응 가스 또는 액체에 기판(2)을 노출시킴으로써 형성될 수 있다. SAM은, 흡착에 의해 기판 표면에 자발적으로 형성되고 다소 큰 정렬된 도메인으로 조직화되는 분자 조립체이다. SAM은 헤드 그룹, 테일 그룹 및 기능적 말단 그룹을 소유하는 분자를 포함할 수 있다. SAM은 실온에서 또는 실온 초과에서 증기상 또는 액체상으로부터 기판 표면으로 헤드 그룹이 화학적으로 흡착하는 것에 의해 생성된 후 테일 그룹이 느리게 조직화하는 것에 의해 생성된다. 초기에는, 표면 상에 작은 분자 밀도에서 흡착물 분자는 무질서한 분자 덩어리를 형성하거나, 정렬된 2차원 "누워 있는 상"을 형성하고, 더 높은 분자 범위에서 수 분 내지 수 시간에 걸쳐 기판 표면 상에 3차원 결정 또는 반결정 구조를 형성하기 시작한다. 헤드 그룹은 기판 상에 함께 조립되는 반면, 테일 그룹은 기판에서 떨어져 조립된다.
SAM을 형성하는 분자의 헤드 그룹은 분자가 다른 표면 상에 있는 다른 화학종에 화학적으로 결합하는 능력을 고려하여 선택될 수 있다. 금속 막과 금속 함유 라이너 상에 SAM을 형성할 수 있는 분자의 일부 예로는 티올 또는 카르복실레이트를 포함하는 헤드 그룹을 포함한다. 티올의 일부 예로는 1-옥타데실티올(CH3(CH2)17SH), 1-도데실티올(CH3(CH2)17SH) 및 퍼플루오로데칸티올(CF3(CF2)7CH2CH2SH)을 포함한다. 본 발명의 일 실시예에 따르면, SAM을 형성하는 분자는 플루오르화 알킬 티올, 예를 들어, 퍼플루오로데칸티올을 포함할 수 있다. 많은 플루오르화 알킬 티올은 티올(-SH) 헤드 그룹, CFx 함유 테일 그룹 및 기능적 말단 그룹을 포함한다.
도 2b에서, 금속 막(204)의 표면(204A)과 금속 함유 라이너(202)의 표면(202A)은 표면 세정 공정 후에 적어도 실질적으로 산소가 없을 수 있고 이에 따라 SAM 차단 층(201)이 금속 막(204)과 금속 함유 라이너(202) 상에 쉽게 형성될 수 있다. 대조적으로, 유전체 막(200)은 예를 들어 SiO2 유전체와 같은 산소 함유 종을 포함할 수 있어서, SAM 차단 층(201)이 유전체 막(200) 상에 형성되는 것을 방지한다.
(106)에서, 방법은 유전체 막(200) 상에 물질 막(203)을 선택적으로 증착하는 단계를 포함하지만, 금속 막(204)과 금속 함유 라이너(202) 상에 물질 막(203)을 증착하는 것은 차단 층(201)에 의해 적어도 실질적으로 차단되거나 지연된다. 이는 도 2d에 개략적으로 도시되어 있다.
일부 예에서, 물질 막(203)은 SiO2, 저유전율 물질(예를 들어, SiCOH) 또는 고유전율 물질(예를 들어, 금속 산화물)을 포함할 수 있다. 일례에서, SiO2는 기판(2)을 금속 함유 촉매(예를 들어, Al(CH3)3)와 실란올 가스에 순차적으로 노출시킴으로써 증착될 수 있다. 실란올 가스에 노출하는 것은 산화제와 가수분해제가 없는 상태에서 약 150℃ 이하의 기판 온도에서 수행될 수 있다. 예를 들어, 실란올 가스는 트리스(tert-펜톡시) 실란올, 트리스(tert-부톡시) 실란올 및 비스(tert-부톡시)(이소프로폭시) 실란올로 이루어진 군으로부터 선택될 수 있다. 일부 예에서, 금속 산화물은 HfO2, ZrO2, 또는 Al2O3을 포함할 수 있다. 금속 산화물은 예를 들어 ALD 또는 플라즈마 강화 ALD(PEALD)에 의해 증착될 수 있다. 예를 들어, 금속 산화물은 금속 함유 전구체와 산화제(예를 들어, H2O, H2O2, 플라즈마 여기된 O2 또는 O3)를 교대로 노출시키는 것을 사용하여 ALD에 의해 증착될 수 있다.
(108)에서, 차단 층은 예를 들어 기판(2)을 가열하는 것에 의해 금속 막(204)과 금속 함유 라이너(204)로부터 제거될 수 있다. 생성된 기판(2)은 도 2e에 개략적으로 도시되어 있다.
일 실시예에 따르면, 공정 화살표(110)에 의해 도시된 바와 같이 단계(102 내지 108)는 유전체 막(204) 상에 선택적으로 증착되는 물질 막(203)의 두께를 증가시키기 위해 한번 이상 반복될 수 있다.
도 3a 및 도 3b는 본 발명의 일 실시예에 따라 기판 상에 막을 선택적으로 형성하는 실험 결과를 도시한다. 단면 SEM 이미지는 금속 막(304)(즉, Cu), 이 금속 막(304)을 둘러싸는 금속 함유 라이너(302)(즉, TaN/Ta 적층체), 및 금속 함유 라이너(302)를 둘러싸는 유전체 막(300)(즉, 저유전율 유전체)을 포함하는 상이한 배율의 기판을 보여준다. 티올을 함유하는 SAM(이미지에서 식별할 수 없음)이 금속 막(304)과 금속 함유 라이너(302) 상에 형성되었다. 또한, 유전체 막(300) 상에 Al2O3 막(303)이 선택적으로 증착되었다. Al2O3 막(303)은 알루미늄 전구체와 산화제를 교대로 노출시키는 것을 사용하여 기상 증착에 의해 증착되었다. SAM의 형성과 Al2O3 막(303)의 증착 전에, 노출된 표면으로부터 연마 잔류물과 산화된 물질을 제거하기 위해 표면 세정 공정이 수행되었다. 표면 세정 공정은 기판을 H2 가스와 N2 가스를 포함하는 플라즈마 여기 에칭 가스에 노출시키는 것을 포함하였다. 도 3a 및 도 3b의 결과는 표면 세정 공정을 통해 금속 함유 라이너(302)와 금속 막(304)에 비해 유전체 막(300) 상에 영역 선택적 증착이 가능함을 보여준다.
도 4a 및 도 4b는 기판 상에 막을 비선택적으로 형성하는 실험 결과를 도시한다. 단면 SEM 이미지는 도 3a 및 도 3b의 기판과 동일한 막 구조, 즉 금속 막(404)(즉, Cu), 이 금속 막(404)을 둘러싸는 금속 함유 라이너(402)(즉, TaN/Ta 적층체), 및 금속 함유 라이너(402)를 둘러싸는 유전체 막(400)(즉, 저유전율 유전체)을 포함하는 상이한 배율의 기판을 보여준다. 티올을 포함하는 SAM(이미지에서 식별할 수 없음)이 금속 막(404) 상에 형성되었다. SAM의 형성과 Al2O3 막(403)의 증착 전에 표면 세정 공정은 H2 가스를 함유하지만 플라즈마 여기 N2 가스는 포함하지 않는 플라즈마 여기 에칭 가스에 기판을 노출시키는 것을 포함하였다. 도 4a 및 도 4b의 결과는 Al2O3 막(403)이 금속 함유 라이너(402) 상에도 증착되었기 때문에 표면 세정 공정을 통해 유전체 막(400) 상에 영역 선택적 증착이 가능하지 않음을 보여준다.
차단 층을 사용하여 측방향 막 형성을 감소시키는 선택적 막 증착 방법이 다양한 실시예에서 개시되었다. 본 발명의 실시예의 전술한 설명은 예시 및 설명을 위한 목적으로 제공되었다. 본 설명은 모든 실시예를 제시하려고 의도된 것도 아니고 본 발명을 개시된 정확한 형태로 제한하려고 의도된 것도 아니다. 본 설명과 이후의 청구범위는 본 발명을 설명하기 목적으로만 사용되어 본 발명을 제한하는 것으로 해석되지 않아야 하는 용어를 포함한다. 당업자라면 전술된 내용에 비추어 많은 수정과 변형이 가능하다는 것을 이해할 수 있을 것이다. 당업자라면 도면에 도시된 다양한 구성요소에 대한 다양한 등가 조합 및 대안물을 인식할 수 있을 것이다. 따라서 본 발명의 범위는 이러한 상세한 설명이 아니라 첨부된 청구범위에 의해 제한되는 것으로 의도된다.

Claims (20)

  1. 기판 처리 방법으로서,
    금속 막, 금속 함유 라이너 및 유전체 막을 포함하는 기판을 제공하는 단계;
    1) N2 가스와 H2 가스, 2) N2 가스 후에 H2 가스, 또는 3) H2 가스 후에 N2 가스를 포함하는 플라즈마 여기 세정 가스에 상기 기판을 노출시키는 단계;
    상기 금속 막과 상기 금속 함유 라이너 상에 차단 층을 형성하는 단계; 및
    상기 유전체 막 상에 물질 막을 선택적으로 증착하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서, 상기 금속 함유 라이너는 TiN 또는 TaN을 포함하는 금속 화합물을 포함하는, 방법.
  3. 제1항에 있어서, 상기 금속 함유 라이너는 TaN/Ta, TaN/Co 또는 TaN/Ir의 적층체를 포함하는, 방법.
  4. 제1항에 있어서, 상기 금속 막은 Cu, Al, Ta, Ti, W, Ru, Co, Ni, Mo, Rh 또는 Ir을 포함하는, 방법.
  5. 제1항에 있어서, 상기 유전체 막은 SiO2, 저유전율 물질 또는 고유전율 물질을 포함하는, 방법.
  6. 제1항에 있어서, 상기 물질 막은 SiO2, 금속 산화물 또는 금속 질화물을 포함하는, 방법.
  7. 제6항에 있어서, 상기 금속 산화물은 HfO2, ZrO2 또는 Al2O3을 포함하는, 방법.
  8. 제1항에 있어서, 상기 차단 층은 자가 조립된 모노층(SAM: self-assembled monolayer)을 포함하는, 방법.
  9. 제8항에 있어서, 상기 SAM은 티올을 포함하는, 방법.
  10. 제9항에 있어서, 상기 티올은 퍼플루오로데칸티올을 포함하는, 방법.
  11. 기판 처리 방법으로서,
    금속 막, 상기 금속 막을 둘러싸는 금속 함유 라이너, 및 상기 금속 함유 라이너를 둘러싸는 유전체 막을 포함하는 기판을 제공하는 단계;
    1) N2 가스와 H2 가스, 2) N2 가스 후에 H2 가스, 또는 3) H2 가스 후에 N2 가스를 포함하는 플라즈마 여기 세정 가스에 상기 기판을 노출시키는 단계;
    상기 금속 막과 상기 금속 함유 라이너 상에 차단 층을 형성하는 단계; 및
    상기 유전체 막 상에 물질 막을 증착하는 단계
    를 포함하는, 기판 처리 방법.
  12. 제11항에 있어서, 상기 금속 함유 라이너는 TiN 또는 TaN을 포함하는 금속 화합물을 포함하는, 방법.
  13. 제11항에 있어서, 상기 금속 함유 라이너는 TaN/Ta, TaN/Co 또는 TaN/Ir의 적층체를 포함하는, 방법.
  14. 제11항에 있어서, 상기 금속 막은 Cu, Al, Ta, Ti, W, Ru, Co, Ni, Mo, Rh 또는 Ir을 포함하는, 방법.
  15. 제11항에 있어서, 상기 유전체 막은 SiO2, 저유전율 물질 또는 고유전율 물질을 포함하는, 방법.
  16. 제11항에 있어서, 상기 물질 막은 SiO2, 금속 산화물 또는 금속 질화물을 포함하는, 방법.
  17. 제16항에 있어서, 상기 금속 산화물은 HfO2, ZrO2 또는 Al2O3을 포함하는, 방법.
  18. 제11항에 있어서, 차단 층은 자가 조립된 모노층(SAM)을 포함하는, 방법.
  19. 제18항에 있어서, 상기 SAM은 티올을 포함하는, 방법.
  20. 제19항에 있어서, 상기 티올은 퍼플루오로데칸티올을 포함하는, 방법.
KR1020227046196A 2020-06-17 2021-06-11 표면 세정 공정을 이용한 영역 선택적 증착 방법 KR20230024298A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063040483P 2020-06-17 2020-06-17
US63/040,483 2020-06-17
PCT/US2021/036938 WO2021257392A1 (en) 2020-06-17 2021-06-11 Method for area selective deposition using a surface cleaning process

Publications (1)

Publication Number Publication Date
KR20230024298A true KR20230024298A (ko) 2023-02-20

Family

ID=79021982

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227046196A KR20230024298A (ko) 2020-06-17 2021-06-11 표면 세정 공정을 이용한 영역 선택적 증착 방법

Country Status (4)

Country Link
US (1) US20210398846A1 (ko)
KR (1) KR20230024298A (ko)
TW (1) TW202213463A (ko)
WO (1) WO2021257392A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230126792A (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 기판처리방법
WO2024090275A1 (ja) * 2022-10-28 2024-05-02 東京エレクトロン株式会社 成膜方法及び成膜装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7045170B1 (en) * 2002-04-03 2006-05-16 Sandia Corporation Anti-stiction coating for microelectromechanical devices
US7947605B2 (en) * 2006-04-19 2011-05-24 Mattson Technology, Inc. Post ion implant photoresist strip using a pattern fill and method
US20080230773A1 (en) * 2007-03-20 2008-09-25 Nano Terra Inc. Polymer Composition for Preparing Electronic Devices by Microcontact Printing Processes and Products Prepared by the Processes
KR101992352B1 (ko) * 2012-09-25 2019-06-24 삼성전자주식회사 반도체 장치
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US9406614B2 (en) * 2013-03-08 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Material and process for copper barrier layer
US20170067889A1 (en) * 2015-09-03 2017-03-09 Idan Tamir Lateral Flow Diagnostic Devices with Integrated Electronic Components and Methods of Use Thereof
JP2017069313A (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US10586734B2 (en) * 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
US10727065B2 (en) * 2017-11-28 2020-07-28 Taiwan Semiconductor Manufactruing Co., Ltd. Semiconductor structure and manufacturing method thereof
JP2020056104A (ja) * 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Also Published As

Publication number Publication date
US20210398846A1 (en) 2021-12-23
TW202213463A (zh) 2022-04-01
WO2021257392A1 (en) 2021-12-23

Similar Documents

Publication Publication Date Title
JP7330664B2 (ja) セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積
KR102249346B1 (ko) 표면 처리에 의한 선택적 퇴적
KR102520620B1 (ko) 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적
KR20220034785A (ko) 영역 선택적 증착에서 측면 필름 성장의 완화 방법
TWI636501B (zh) 使用水蒸氣處理將材料層從基材移除的方法
US20100015800A1 (en) Method for forming metal film using carbonyl material, method for forming multi-layer wiring structure, and method for manufacturing semiconductor device
KR20230024298A (ko) 표면 세정 공정을 이용한 영역 선택적 증착 방법
JP2010503204A (ja) 銅配線のバリア界面調整のための方法および装置
TWI525671B (zh) 半導體元件與其形成方法
US20200328078A1 (en) Integrated in-situ dry surface preparation and area selective film deposition
US11658066B2 (en) Method for reducing lateral film formation in area selective deposition
KR100922905B1 (ko) 성막 방법, 반도체 장치의 제조 방법, 반도체 장치, 프로그램 및 기록매체
KR102545882B1 (ko) 역행 프로파일들을 갖는 리세스된 피처들을 보이드 없이 충전하는 방법
JP7369895B2 (ja) 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積
Brennan et al. Investigation of interfacial oxidation control using sacrificial metallic Al and La passivation layers on InGaAs
KR20090045287A (ko) 구리 상호접속부의 배리어 계면 제작 방법 및 장치
KR20190081455A (ko) 코발트 함유 박막의 제조방법
KR100538094B1 (ko) 반도체 장치의 배선 형성 방법, 반도체 장치의 금속층형성 방법 및 장치
TW202242964A (zh) 利用低電阻金屬填充半導體裝置中之凹陷特徵部的方法
KR20220110390A (ko) 영역 선택적 원자층 증착 방법
KR20240054812A (ko) 집적회로 소자의 제조 방법
JP2006286876A (ja) 半導体装置、及び半導体装置製造方法
JP2006147895A (ja) 半導体装置の製造方法