KR20160070896A - 반도체 소자 및 그 제조 방법 - Google Patents

반도체 소자 및 그 제조 방법 Download PDF

Info

Publication number
KR20160070896A
KR20160070896A KR1020140177548A KR20140177548A KR20160070896A KR 20160070896 A KR20160070896 A KR 20160070896A KR 1020140177548 A KR1020140177548 A KR 1020140177548A KR 20140177548 A KR20140177548 A KR 20140177548A KR 20160070896 A KR20160070896 A KR 20160070896A
Authority
KR
South Korea
Prior art keywords
contact
conductive pattern
insulating film
contact hole
opening
Prior art date
Application number
KR1020140177548A
Other languages
English (en)
Other versions
KR102307633B1 (ko
Inventor
임태완
강호종
박주원
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020140177548A priority Critical patent/KR102307633B1/ko
Priority to US14/956,735 priority patent/US9711603B2/en
Publication of KR20160070896A publication Critical patent/KR20160070896A/ko
Priority to US15/591,736 priority patent/US10103236B2/en
Priority to US16/121,020 priority patent/US10608091B2/en
Application granted granted Critical
Publication of KR102307633B1 publication Critical patent/KR102307633B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Geometry (AREA)

Abstract

본 발명에 따른 반도체 소자의 제조 방법은 기판 상에 전극을 형성하는 것; 상기 전극을 덮는 매립 절연막을 형성하는 것; 상기 매립 절연막을 관통하여 상기 전극에 인접하는 콘택 홀을 형성하는 것; 상기 콘택 홀에 인접한 상기 전극의 일부를 제거하여 상기 콘택 홀과 연결되는 개구부를 형성하는 것; 및 상기 콘택 홀 및 상기 개구부를 채우는 콘택 플러그를 형성하는 것을 포함하되, 상기 개구부의 너비는 상기 콘택 홀의 너비보다 클 수 있다.

Description

반도체 소자 및 그 제조 방법{Semiconductor device and method for manufacturing the same}
본 발명은 반도체 소자 및 그 제조 방법에 관한 것으로, 보다 상세하게는 콘택 플러그를 포함하는 반도체 소자 및 그 제조 방법에 관한 것이다.
전자 제품은 그 부피가 점점 작아지면서도 고용량의 데이터 처리를 요하고 있다. 이에 따라, 이러한 전자 제품에 사용되는 반도체 메모리 소자의 집적도를 증가시킬 필요가 있다. 반도체 메모리 소자의 집적도를 향상시키기 위한 방법들 중 하나로서, 기존의 평면 트랜지스터 구조 대신 수직 트랜지스터 구조를 가지는 비휘발성 메모리 소자가 제안되고 있다. 이러한 수직 트랜지스터 구조를 가지는 비휘발성 메모리 소자에서는, 서로 다른 레벨을 가지는 복수 개의 전극에 동시에 콘택 플러그가 형성되어야 한다.
본원 발명이 해결하고자 하는 과제는 도전성 패턴과 안정적으로 접촉하는 콘택 플러그를 포함하는 반도체 소자를 제공하는데 있다.
본원 발명이 해결하고자 하는 다른 과제는 도전성 패턴과 안정적으로 접촉하는 콘택 플러그를 포함하는 반도체 소자의 제조 방법을 제공하는데 있다.
본 발명이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 일 실시예에 따른 반도체 소자는 기판 상에 배치되는 도전성 패턴; 상기 도전성 패턴을 덮는 매립 절연막; 및 상기 도전성 패턴 내에 삽입되며 상기 도전성 패턴과 전기적으로 연결되는 콘택 플레이트와 상기 콘택 플레이트의 상면과 접하며 상기 매립 절연막을 관통하는 콘택 바디를 갖는 콘택 플러그를 포함하되, 상기 콘택 플레이트의 상면의 너비는 상기 콘택 바디의 하면의 너비보다 클 수 있다.
일 실시예에 따르면, 상기 콘택 플레이트는 원판(circular plate) 구조를 가질 수 있다.
일 실시예에 따르면, 상기 콘택 플레이트와 상기 콘택 바디는 동일한 물질을 포함할 수 있다.
일 실시예에 따르면, 상기 콘택 플레이트의 표면 및 상기 콘택 바디의 측면을 컨포멀하게 덮는 배리어 막을 더 포함할 수 있다.
일 실시예에 따르면, 상기 콘택 플레이트는 상기 도전성 패턴을 관통할 수 있다.
상기 해결하고자 하는 다른 과제를 달성하기 위하여 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법은 기판 상에 도전성 패턴을 형성하는 것; 상기 도전성 패턴을 덮는 매립 절연막을 형성하는 것; 상기 매립 절연막을 관통하여 상기 도전성 패턴에 인접하는 콘택 홀을 형성하는 것; 상기 콘택 홀에 인접한 상기 도전성 패턴의 일부를 제거하여 상기 콘택 홀과 연결되는 개구부를 형성하는 것; 및 상기 콘택 홀 및 상기 개구부를 채우는 콘택 플러그를 형성하는 것을 포함하되, 상기 개구부의 너비는 상기 콘택 홀의 너비보다 클 수 있다.
일 실시예에 따르면, 상기 콘택 플러그를 형성하기 전에, 상기 콘택 홀 및 상기 개구부를 컨포멀하게 덮는 배리어 막을 형성하는 것을 더 포함할 수 있다.
일 실시예에 따르면, 상기 개구부는 상기 도전성 패턴의 상면에서 하면으로 연장되도록 형성될 수 있다.
일 실시예에 따르면, 상기 콘택 홀을 형성하는 것은 상기 콘택 홀을 통해 상기 도전성 패턴을 노출하는 것을 포함할 수 있다.
일 실시예에 따르면, 상기 개구부를 형성하는 것은 습식 식각을 수행하여 상기 도전성 패턴의 일부를 제거하는 것을 포함할 수 있다.
일 실시예에 따르면, 상기 습식 식각은 상기 매립 절연막 보다 상기 도전성 패턴에 대하여 더 빠른 식각 속도를 가질 수 있다.
일 실시예에 따르면, 상기 콘택 홀을 형성하는 것은 건식 식각을 수행하여 상기 매립 절연막의 일부를 제거하는 것을 포함할 수 있다.
일 실시예에 따르면, 상기 콘택 홀을 형성하는 것은 상기 콘택 홀과 상기 도전성 패턴 사이에 상기 매립 절연막의 잔존물을 형성하는 것을 포함하되, 상기 습식 식각은 상기 잔존물을 제거하는 것을 포함할 수 있다.
상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 다른 실시예에 따른 반도체 소자는 기판 상에 배치되며, 제 1 연장부 및 상기 제 1 연장부에서 연장되는 제 1 콘택부를 포함하는 제 1 전극; 상기 제 1 전극 상에 배치되며, 상기 제 1 콘택부를 노출하고, 제 2 연장부 및 상기 제 2 연장부에서 연장되는 제 2 콘택부를 포함하는 제 2 전극; 상기 기판과 상기 제 1 전극 사이의 제 1 절연막; 상기 제 1 전극과 상기 제 2 전극 사이의 제 2 절연막; 상기 제 1 전극 및 상기 제 2 전극을 덮는 매립 절연막; 상기 제 1 콘택부에 삽입되며 상기 제 1 전극과 전기적으로 연결되는 제 1 콘택 플레이트 및 상기 제 1 콘택 플레이트의 상면과 접하며 상기 매립 절연막을 관통하는 제 1 콘택 바디를 포함하는 제 1 콘택 플러그; 및 상기 제 2 콘택부에 삽입되며 상기 제 2 전극과 전기적으로 연결되는 제 2 콘택 플레이트 및 상기 제 2 콘택 플레이트의 상면과 접하며 상기 매립 절연막을 관통하는 제 2 콘택 바디를 포함하는 제 2 콘택 플러그를 포함하되, 상기 제 1 콘택 플레이트의 상면의 너비는 상기 제 1 콘택 바디의 하면의 너비보다 크고, 상기 제 2 콘택 플레이트의 상면의 너비는 상기 제 2 콘택 바디의 하면의 너비보다 클 수 있다.
일 실시예에 따르면, 상기 제 1 콘택 플레이트 및 상기 제 2 콘택 플레이트는 원판 구조를 가질 수 있다.
일 실시예에 따르면, 상기 제 1 콘택 플레이트는 상기 제 1 전극을 관통하고, 상기 제 2 콘택 플레이트는 상기 제 2 전극을 관통할 수 있다.
일 실시예에 따르면, 상기 제 1 전극 및 제 2 절연막 사이에 상기 제 1 콘택부를 노출하도록 배치되며, 제 3 연장부 및 상기 제 3 연장부와 연결되며 상기 제 2 전극에 의해 노출되는 제 3 콘택부를 포함하는 제 3 전극; 상기 제 3 전극과 상기 제 1 전극 사이에 개재되는 제 3 절연막; 및 상기 제 3 콘택부에 삽입되며 상기 제 3 전극과 전기적으로 연결되는 제 3 콘택 플레이트 및 상기 제 3 콘택 플레이트의 상면과 접하며 상기 매립 절연막을 관통하는 제 3 콘택 바디를 포함하는 제 3 콘택 플러그를 더 포함할 수 있다.
일 실시예에 따르면, 상기 기판은 소자 영역 및 연결 영역을 포함하되, 상기 제 1 연장부, 상기 제 1 콘택부, 상기 제 2 연장부, 및 상기 제 2 콘택부는 상기 연결 영역 상에 제공되고, 상기 제 1 전극은 상기 제 1 연장부에서 상기 소자 영역 상으로 연장되는 제 1 게이트부를 더 포함하고, 상기 제 2 전극은 상기 제 2 연장부에서 상기 소자 영역 상으로 연장되는 제 2 게이트부를 더 포함하고, 상기 제 1 절연막은 상기 기판과 상기 제 1 게이트부 사이로 연장되고, 상기 제 2 절연막은 상기 제 1 게이트부와 상기 제 2 게이트부 사이로 연장되며, 상기 제 1 절연막, 상기 제 2 절연막, 상기 제 1 게이트부, 및 상기 제 2 게이트부를 관통하는 수직 채널 구조체를 더 포함할 수 있다.
상기 해결하고자 하는 다른 과제를 달성하기 위하여 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법은 기판 상에 차례로 적층된 제 1 절연막, 제 1 전극, 제 2 절연막, 및 제 2 전극을 형성하되, 상기 제 1 전극은 제 1 연장부 및 상기 제 1 연장부에서 연장된 제 1 콘택부를 포함하고, 상기 제 2 전극은 상기 제 1 콘택부를 노출하며 제 2 연장부 및 상기 제 2 연장부에서 연장된 제 2 콘택부를 포함하는 것; 상기 제 1 전극 및 상기 제 2 전극을 덮는 매립 절연막을 형성하는 것; 상기 매립 절연막을 관통하여 상기 제 1 콘택부에 인접하는 제 1 콘택 홀 및 상기 매립 절연막을 관통하여 상기 제 2 콘택부에 인접하는 제 2 콘택 홀을 형성하는 것; 상기 제 1 콘택 홀에 인접한 상기 제 1 전극의 일부 및 상기 제 2 콘택 홀에 인접한 상기 제 2 전극의 일부를 제거하여 상기 제 1 콘택 홀과 연결되는 제 1 개구부 및 상기 제 2 콘택 홀과 연결되는 제 2 개구부를 형성하는 것; 및 상기 제 1 콘택 홀 및 상기 제 1 개구부를 채우는 제 1 콘택 플러그 및 상기 제 2 콘택 홀 및 상기 제 2 개구부를 채우는 제 2 콘택 플러그를 형성하는 것을 포함하되, 상기 제 1 개구부의 너비는 상기 제 1 콘택 홀의 너비보다 크고, 상기 제 2 개구부의 너비는 상기 제 2 콘택 홀의 너비보다 클 수 있다.
일 실시예에 따르면, 상기 제 1 개구부 및 상기 제 2 개구부를 형성하는 것은 습식 식각을 수행하여 상기 제 1 전극의 일부 및 상기 제 2 전극의 일부를 제거하는 것을 포함할 수 있다.
일 실시예에 따르면, 상기 제 1 콘택 홀 및 제 2 콘택 홀을 형성하는 것은 건식 식각을 이용하여 동시에 수행되며, 상기 제 1 전극은 상기 건식 식각에 의해 노출되지 않을 수 있다.
일 실시예에 따르면, 상기 제 1 콘택 홀을 형성하는 것은 상기 제 1 콘택 홀과 상기 제 1 전극 사이의 잔존물을 형성하는 것을 포함하되, 상기 습식 식각은 상기 잔존물을 제거하는 것을 포함할 수 있다.
일 실시예에 따르면, 상기 습식 식각은 상기 매립 절연막보다 상기 제 1 및 제 2 전극들에 대하여 더 빠른 식각 속도를 가질 수 있다.
일 실시예에 따르면, 상기 기판은 소자 영역 및 연결 영역을 포함하되, 상기 제 1 연장부, 상기 제 1 콘택부, 상기 제 2 연장부, 및 상기 제 2 콘택부는 상기 연결 영역 상에 형성되고, 상기 제 1 전극은 상기 제 1 연장부에서 상기 소자 영역 상으로 연장되는 제 1 게이트부를 더 포함하고, 상기 제 2 전극은 상기 제 2 연장부에서 상기 소자 영역 상으로 연장되는 제 2 게이트부를 더 포함하고, 상기 제 1 절연막은 상기 제 1 게이트부 및 상기 기판 사이로 연장되고, 상기 제 2 절연막은 상기 제 1 게이트부 및 상기 제 2 게이트부 사이로 연장되며, 상기 제 1 콘택 홀 및 제 2 콘택 홀을 형성하기 전에, 상기 제 1 게이트부, 상기 제 1 절연막, 및 상기 제 2 게이트부를 관통하는 수직 채널 구조체를 형성하는 것을 더 포함할 수 있다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명에 따르면, 안정적인 접촉 확보를 위한 과식각(over etch)을 하지 않기 때문에, 콘택 플러그 형성 시 도전성 패턴의 뚫림 현상을 방지할 수 있다.
본 발명에 따르면, 3차원 반도체 장치의 서로 다른 레벨에 있는 전극들의 습식 식각 시 전극들의 표면에 잔존할 수 있는 절연막이 제거될 수 있기 때문에, 콘택 플러그와 전극들이 안정적으로 접촉할 수 있다.
도 1a는 본 발명의 일 실시예들에 따른 반도체 소자의 평면도이다.
도 1b는 본 발명의 일 실시예들에 따른 반도체 소자의 단면도로서, 도 1a의 I-I'선에 대응하는 단면을 나타낸다.
도 2a 내지 6a, 및 도 3c는 본 발명의 일 실시예들에 따른 반도체 소자의 제조 방법을 나타내는 평면도들이다.
도 2b 내지 6b, 및 도 3d는 본 발명의 일 실시예들에 따른 반도체 소자의 제조 방법을 나타내는 단면도들로서, 각각 도 2a 내지 6a 및 도 3c의 I-I'선에 대응한다.
도 7은 본 발명의 개념에 의한 실시예들에 따른 메모리 장치를 나타내는 블록도이다.
도 8은 도 7의 메모리 셀 어레이의 예를 나타내는 블록도이다.
도 9는 본 발명의 개념에 의한 실시예들에 따른 것으로, 도 8의 메모리 블록의 셀 어레이를 나타내는 간략 회로도이다.
도 10a는 본 발명의 다른 실시예들에 따른 반도체 소자의 평면도이다.
도 10b는 도 10a의 I-I'선에 따른 단면도이다.
도 11a 내지 도 11l은 본 발명의 다른 실시예들에 따른 반도체 소자의 제조 방법에 관한 도면들로, 도 10a의 I-I'선에 대응되는 단면도들이다.
도 12는 본 발명의 또 다른 실시예들에 따른 것으로, 도 8의 메모리 블록의 셀 어레이를 나타내는 간략 회로도이다.
도 13은 본 발명의 또 다른 실시예들에 따른 반도체 소자의 단면도이다.
도 14는 본 발명의 개념에 의한 실시예들에 따라 형성된 메모리 장치를 포함하는 전자 시스템의 일 예를 나타내는 개략 블록도이다.
도 15는 본 발명의 개념에 의한 실시예들에 따라 형성된 메모리 장치를 구비하는 메모리 카드의 일 예를 나타내는 개략 블록도이다.
도 16은 본 발명의 개념에 의한 실시예들에 따라 형성된 메모리 장치를 장착한 정보 처리 시스템의 일 예를 나타내는 개략 블록도이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
또한, 본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 예를 들면, 직각으로 도시된 식각 영역은 라운드지거나 소정 곡률을 가지는 형태일 수 있다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다.
도 1a는 본 발명의 일 실시예들에 따른 반도체 소자의 평면도이다. 도 1b는 본 발명의 일 실시예들에 따른 반도체 소자의 단면도로서, 도 1a의 I-I'선에 대응하는 단면을 나타낸다. 이하, 도 1a 및 도 1b를 참조하여 본 발명의 일 실시예들에 따른 반도체 소자를 설명한다.
도 1a 및 도 1b를 참조하면, 본 발명의 일 실시예들에 따른 콘택 플러그(160)를 포함하는 반도체 소자(100)는 기판(110), 하부 절연막(120), 도전성 패턴(130), 매립 절연막(140), 배리어 막(150), 및 콘택 플러그(160)를 포함할 수 있다.
기판(110)은 반도체 기판일 수 있다. 반도체 기판은, 예를 들어, 단결정 실리콘막, SOI(silicon on insulator), 실리콘 게르마늄(SiGe)막 상에 형성된 실리콘 막, 절연막 상에 형성된 실리콘 단결정막, 및 절연막 상에 형성된 폴리실리콘막 중에서 선택된 적어도 하나를 포함할 수 있다.
하부 절연막(120)은 기판(110) 상에 배치될 수 있다. 하부 절연막(120)은 실리콘 산화막 및/또는 실리콘 질화막을 포함할 수 있다.
도전성 패턴(130)은 하부 절연막(120) 상에 배치될 수 있다. 도전성 패턴(130)은 도전성 패턴(130)의 상면에서 하면으로 연장되는 개구부(130a)를 가질 수 있다. 개구부(130a)의 평면적 단면은 원형일 수 있다. 도전성 패턴(130)은 도핑된 폴리실리콘, 금속(예를 들면, 텅스텐, 구리 또는 알루미늄), 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄) 또는 전이 금속(예를 들면, 티타늄 또는 탄탈늄) 중에서 선택된 적어도 하나를 포함할 수 있다.
매립 절연막(140)은 도전성 패턴(130)을 덮을 수 있다. 다만, 매립 절연막(140)은 개구부(130a)를 채우지 않을 수 있다. 매립 절연막(140)은 실리콘 산화막 및/또는 실리콘 질화막을 포함할 수 있다.
콘택 플러그(160)은 콘택 플레이트(161) 및 콘택 바디(162)를 포함할 수 있다. 콘택 플레이트(161)은 도전성 패턴(130)의 개구부(130a) 내에 삽입되어 도전성 패턴(130)과 전기적으로 연결될 수 있다. 콘택 플레이트(161)는 원판(circular plate) 구조를 가질 수 있으며, 개구부(130a)를 통하여 도전성 패턴(130)을 관통할 수 있다. 콘택 바디(162)의 하면은 콘택 플레이트(161)의 상면과 접할 수 있다. 콘택 플레이트(161)의 상면의 너비(W1)은 콘택 바디(162)의 하면의 너비(W2)보다 클 수 있다. 다시 말해, 콘택 플레이트(161)의 상면의 넓이는 콘택 바디(162)의 하면의 넓이보다 클 수 있다. 콘택 바디(162)는 콘택 플레이트(161)의 상면으로부터 수직하게 연장되어 매립 절연막(140)을 관통할 수 있다. 콘택 플레이트(161)와 콘택 바디(162)는 동일한 물질을 포함할 수 있다. 예를 들어, 콘택 플레이트(161) 및 콘택 바디(162)는 금속(예를 들면, 텅스텐, 구리 또는 알루미늄), 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄) 또는 전이 금속(예를 들면, 티타늄 또는 탄탈늄) 중에서 선택된 적어도 하나를 포함할 수 있다.
배리어 막(150)은 콘택 플레이트(161)의 표면 및 콘택 바디(162)의 측면을 컨포멀하게 덮을 수 있다. 다만, 콘택 플레이트(161)의 상면 중 콘택 바디(162)와 접하는 부분은 배리어 막(150)으로 덮이지 않을 수 있다. 이에 따라, 배리어 막(150)은 콘택 플러그(160)와 하부 절연막(120) 사이, 콘택 플러그(160)와 도전성 패턴(130) 사이, 및 콘택 플러그(160)와 매립 절연막(140) 사이에 개재될 수 있다. 콘택 플레이트(161)와 도전성 패턴(130)은 그들 사이에 개재된 배리어 막(150)을 통해 전기적으로 연결될 수 있다. 배리어 막(150)은 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄)을 포함할 수 있다.
본 발명에 따르면, 도전성 패턴(130)에 삽입된 콘택 플레이트(161)를 통해 도전성 패턴(130)과 콘택 플러그(160)가 전기적으로 연결된다. 이에 따라, 도전성 패턴(130)과 콘택 플러그(160)의 콘택 면적이 넓어져, 도전성 패턴(130)과 콘택 플러그(160) 사이의 콘택 저항이 작아질 수 있다.
도 2a 내지 6a 및 도 3c는 본 발명의 일 실시예들에 따른 반도체 소자의 제조 방법을 나타내는 평면도들이다. 도 2b 내지 6b 및 도 3d는 본 발명의 일 실시예들에 따른 반도체 소자의 제조 방법을 나타내는 단면도들로서, 각각 도 2a 내지 6a 및 도 3c의 I-I'선에 대응한다. 이하, 도 2a 내지 6a, 도 3c, 도 2b 내지 6b, 및 도 3d를 참조하여 본 발명의 일 실시예들에 따른 반도체 소자의 제조 방법을 설명한다. 도 1a 및 도 1b를 참조하여 설명한 본 발명의 일 실시예들에 따른 반도체 소자와 동일한 구성에 대하여는 동일한 참조번호가 제공되고, 설명의 간소화를 위해 중복되는 설명은 생략될 수 있다.
도 2a 및 도 2b를 참조하면, 기판(110) 상에 하부 절연막(120), 도전성 패턴(130), 및 매립 절연막(140)이 차례로 형성될 수 있다.
기판(110)은 반도체 기판일 수 있다. 반도체 기판은, 예를 들어, 단결정 실리콘막, SOI(silicon on insulator), 실리콘 게르마늄(SiGe)막 상에 형성된 실리콘 막, 절연막 상에 형성된 실리콘 단결정막, 및 절연막 상에 형성된 폴리실리콘막 중에서 선택된 적어도 하나를 포함할 수 있다.
하부 절연막(120)이 기판(110) 상에 형성될 수 있다. 하부 절연막(120)은, 예를 들어 실리콘 산화막 및/또는 실리콘 질화막을 포함할 수 있다. 하부 절연막(120)은, 예를 들어 열산화 공정에 의하여 형성될 수 있다.
하부 절연막(120) 상에 도전성 패턴(130)이 형성될 수 있다. 도전성 패턴(130)은, 예를 들어, 물리적 기상 증착법(physical vapor deposition: PVD) 또는 화학적 기상 증착법(physical vapor deposition CVD)을 통해 형성될 수 있다. 도전성 패턴(130)은 도핑된 폴리실리콘, 금속(예를 들면, 텅스텐, 구리 또는 알루미늄), 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄) 또는 전이 금속(예를 들면, 티타늄 또는 탄탈늄) 중에서 선택된 적어도 하나를 포함할 수 있다.
매립 절연막(140)이 도전성 패턴(130) 상에 형성될 수 있다. 이에 따라, 도전성 패턴(130)은 매립 절연막(140)에 의해 덮일 수 있다. 매립 절연막(140)은, 예를 들어, 화학적 기상 증착법(CVD)에 의하여 형성될 수 있다. 매립 절연막(140)은 실리콘 산화막 및/또는 실리콘 질화막을 포함할 수 있다.
도 3a 및 3b, 또는 도 3c 및 3d를 참조하면, 매립 절연막(140)을 관통하여 도전성 패턴(130)에 인접하는 콘택 홀(140a)을 형성할 수 있다. 콘택 홀(140a)은 매립 절연막(140) 상에 매립 절연막(140)의 일부를 노출하는 마스크(미도시)를 형성하고, 이를 식각 마스크로 이용하여 매립 절연막(140)을 식각함으로써 형성될 수 있다. 이 때, 식각은 도전성 패턴(130)보다 매립 절연막(140)에 대하여 더 빠른 식각 속도를 가지는 건식 식각(dry etching)에 의해 수행될 수 있다.
식각의 수행 시간을 조절함으로써 또는 공정 균일도에 따라 콘택 홀(140a)의 깊이가 달라질 수 있다. 식각의 수행 시간을 길게 하거나 또는 과도 식각(over-etch)됨에 따라 콘택 홀(140a)의 깊이는 커질 수 있다. 예를 들어, 도 3a 및 도 3b에 도시된 바와 같이, 콘택 홀(140a)에 의해 도전성 패턴(130)이 노출될 수 있다. 이 경우, 콘택 홀(140a)에 의해 노출된 도전성 패턴도 일부 식각될 수 있다. 이와 달리, 식각 시간을 짧게 하거나 미식각(un-etch)됨에 따라, 콘택홀(140a)의 깊이는 작아질 수 있다. 예를 들어, 도 3c 및 3d에 도시된 바와 같이, 콘택 홀(140a)에 의해 도전성 패턴(130)이 노출되지 않을 수 있다. 다시 말해, 콘택 홀(140a)과 도전성 패턴(130) 사이에 매립 절연막(140)의 잔존물(141)이 형성될 수 있다.
도 4a 및 4b를 참조하면, 콘택 홀(140a)에 인접한 도전성 패턴(130)의 일부를 제거하여 도전성 패턴(130) 내에 개구부(130a)를 형성할 수 있다. 개구부(130a)는 하부 절연막(120) 및 매립 절연막(140)보다 도전성 패턴(130)에 대하여 더 빠른 식각 속도를 가지는 습식 식각을 수행함으로써 형성될 수 있다. 습식 식각에 의하여 매립 절연막(140)이 전혀 식각되지 않는 것은 아닐 수 있다. 따라서, 습식 식각에 의하여 콘택 홀(140a)의 내벽 부분의 매립 절연막(140)이 식각될 수 있으며, 이에 따라 콘택 홀(140a)의 너비가 커질 수 있다.
도 3a 및 3b에 도시된 실시예에서와 같이 콘택 홀(140a)에 의하여 도전성 패턴(130)의 일부가 노출된 경우, 노출된 부분을 중심으로 도전성 패턴(130)의 식각이 진행될 수 있다. 이에 따라, 개구부(130a)는 도전성 패턴(130)의 상면에서 하면으로 연장될 수 있으며, 개구부(130a)의 평면적 단면은 콘택 홀(140a)을 중심으로 하는 원의 형태로 형성될 수 있다. 또한, 개구부(130a)는 콘택 홀(140a)과 연결될 수 있다.
도 3c 및 3d에 도시된 실시예에서와 같이 콘택 홀(140a)과 도전성 패턴(130) 사이에 매립 절연막(140)의 잔존물(도 3c 및 3d의 141 참조)이 형성된 경우, 습식 식각에 의하여 잔존물(도 3c 및 3d의 141 참조)이 제거될 수 있다. 잔존물(도 3c 및 3d의 141 참조)이 제거됨에 따라, 콘택 홀(140a)은 도전성 패턴(130)의 상면까지 연장될 수 있고, 콘택 홀(140a)를 통해 도전성 패턴(130)이 노출될 수 있다. 결국, 노출된 부분을 중심으로 도전성 패턴(130)의 식각이 진행될 수 있다. 이에 따라, 개구부(130a)는 도전성 패턴(130)의 상면에서 하면으로 연장될 수 있으며, 개구부(130a)의 평면적 단면은 콘택 홀(140a)을 중심으로 하는 원의 형태로 형성될 수 있다. 또한, 개구부(130a)는 콘택 홀(140a)과 연결될 수 있다.
습식 식각의 수행 시간을 조절함으로써, 개구부(130a)의 크기를 조절할 수 있다. 다시 말해, 습식 식각의 수행 시간을 길게 할수록 도전성 패턴(130)이 식각되는 정도가 커지기 때문에, 개구부(130a)의 크기는 커질 수 있다. 따라서, 습식 식각의 수행 시간을 충분히 길게 하면, 개구부(130a)의 너비(W3)는 콘택 홀(140a)의 너비(W4)보다 커질 수 있다.
도 5a 및 5b를 참조하면, 개구부(130a) 및 콘택 홀(140a)의 내벽을 컨포멀하게 덮는 배리어 막(150)을 형성할 수 있다. 배리어 막(150)은, 예를 들어, 화학적 기상 증착법(CVD)을 통해 형성될 수 있다. 배리어 막(150)은 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄)을 포함할 수 있다.
도 6a 및 6b를 참조하면, 개구부(130a) 및 콘택 홀(140a)를 채우는 콘택 플러그(160)를 형성할 수 있다. 콘택 플러그(160)는 개구부(130a)를 채우는 부분인 콘택 플레이트(161)와 콘택 홀(140a)을 채우는 부분인 콘택 바디(162)를 포함할 수 있다. 개구부(130a)와 콘택 홀(140a)는 연결되므로, 콘택 바디(162)의 하면은 콘택 플레이트(161)의 상면과 접할 수 있다. 콘택 플레이트(161)은 배리어 막(150)을 통해 도전성 패턴(130)과 전기적으로 연결될 수 있다. 콘택 플레이트(161)는 개구부(130a)의 형태를 따라, 원판 구조를 가질 수 있으며, 도전성 패턴(130)을 관통하도록 형성될 수 있다. 개구부(130a)의 너비는 콘택 홀(140a)의 너비보다 클 수 있기 때문에, 콘택 플레이트(161)의 상면의 너비(W1)은 콘택 바디(162)의 하면의 너비(W2)보다 클 수 있다. 콘택 플러그(160)는 화학적 기상 증착법(CVD)을 통해 형성될 수 있다. 콘택 플레이트(161)와 콘택 바디(162)는 동일한 물질을 포함할 수 있다. 예를 들어, 콘택 플레이트(161) 및 콘택 바디(162)는 금속(예를 들면, 텅스텐, 구리 또는 알루미늄), 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄) 또는 전이 금속(예를 들면, 티타늄 또는 탄탈늄) 중에서 선택된 적어도 하나를 포함할 수 있다.
본 발명에 따르면, 콘택 홀(도 3c 및 3d의 140a 참조)의 깊이가 충분하지 않아, 콘택 홀(140a)과 도전성 패턴(130) 사이에 잔존물(도 3c 및 3d의 141 참조)이 형성된다 하더라도, 습식 식각에 의해 잔존물(도 3c 및 3d의 141 참조)이 제거될 수 있다. 따라서, 도전성 패턴(130)과 안정적으로 접촉하는 콘택 플러그(160)가 형성될 수 있다.
또한, 본 발명에 따르면, 도전성 패턴(130)에 삽입된 콘택 플레이트(161)를 통해 도전성 패턴(130)과 콘택 플러그(160)가 전기적으로 연결된다. 이에 따라, 도전성 패턴(130)과 콘택 플러그(160)의 콘택 면적이 넓어져, 도전성 패턴(130)과 콘택 플러그(160) 사이의 콘택 저항이 작아질 수 있다.
도 7은 본 발명의 실시예들에 따른 메모리 장치를 나타내는 블록도이다. 도 7을 참조하면, 본 발명의 실시예들에 따른 반도체 장치는 메모리 셀 어레이(10), 어드레스 디코더(20), 읽기/쓰기 회로(30), 데이터 입출력 회로(40), 및 제어 로직(50)을 포함할 수 있다.
메모리 셀 어레이(10)는 복수 개의 워드 라인들(WL)을 통해 어드레스 디코더(20)에 연결되고, 비트 라인들(BL)을 통해 읽기 및 쓰기 회로(30)에 연결될 수 있다. 메모리 셀 어레이(10)는 복수 개의 메모리 셀들을 포함한다. 예를 들어, 메모리 셀 어레이(10)는 셀 당 하나 또는 그 이상의 비트를 저장할 수 있도록 구성된다.
어드레스 디코더(20)는 워드 라인들(WL)을 통해 메모리 셀 어레이(10)에 연결될 수 있다. 어드레스 디코더(20)는 제어 로직(50)의 제어에 응답하여 동작하도록 구성된다. 어드레스 디코더(20)는 외부로부터 어드레스(ADDR)를 수신할 수 있다. 어드레스 디코더(20)는 수신된 어드레스(ADDR) 중 행 어드레스를 디코딩하여, 복수 개의 워드 라인들(WL) 중 대응하는 워드 라인을 선택한다. 또한, 어드레스 디코더(20)는 수신된 어드레스(ADDR) 중 열 어드레스를 디코딩하고, 디코딩된 열 어드레스를 읽기/쓰기 회로(30)에 전달한다. 예를 들어, 어드레스 디코더(20)는 행 디코더, 열 디코더, 어드레스 버퍼 등과 같이 잘 알려진 구성 요소들을 포함할 수 있다.
읽기/쓰기 회로(30)는 비트 라인들(BL)을 통해 메모리 셀 어레이(10)에 연결되고, 데이터 라인들(D/L)을 통해 데이터 입출력 회로(40)에 연결될 수 있다. 읽기/쓰기 회로(30)는 제어 로직(50)의 제어에 응답하여 동작할 수 있다. 읽기/쓰기 회로(30)는 어드레스 디코더(20)로부터 디코딩된 열 어드레스를 수신하도록 구성된다. 디코딩된 열 어드레스를 이용하여, 읽기/쓰기 회로(30)는 비트 라인(BL)을 선택한다. 예를 들어, 읽기/쓰기 회로(30)는 데이터 입출력 회로(40)로부터 데이터를 수신하고, 수신된 데이터를 메모리 셀 어레이(10)에 기입한다. 읽기/쓰기 회로(30)는 메모리 셀 어레이(10)로부터 데이터를 읽고, 읽어진 데이터를 데이터 입출력 회로(40)에 전달한다. 읽기/쓰기 회로(30)는 메모리 셀 어레이(10)의 제1 저장 영역으로부터 데이터를 읽고, 읽어진 데이터를 메모리 셀 어레이(10)의 제2 저장 영역에 기입한다. 예를 들면, 읽기/쓰기 회로(30)는 카피-백(copy-back) 동작을 수행하도록 구성될 수 있다.
읽기/쓰기 회로(30)는 페이지 버퍼(또는 페이지 레지스터) 및 열 선택 회로를 포함하는 구성 요소들을 포함할 수 있다. 다른 예로서, 읽기/쓰기 회로(30)는 감지 증폭기, 쓰기 드라이버, 및 열 선택 회로를 포함하는 구성 요소들을 포함할 수 있다.
데이터 입출력 회로(40)는 데이터 라인들(DL)을 통해 읽기/쓰기 회로(30)에 연결될 수 있다. 데이터 입출력 회로(40)는 제어 로직(50)의 제어에 응답하여 동작한다. 데이터 입출력 회로(40)는 외부와 데이터(DATA)를 교환하도록 구성된다. 데이터 입출력 회로(40)는 외부로부터 전달되는 데이터(DATA)를 데이터 라인들(DL)을 통해 읽기/쓰기 회로(30)에 전달하도록 구성된다. 데이터 입출력 회로(40)는 읽기 및 쓰기 회로로부터 데이터 라인들(DL)을 통해 전달되는 데이터(DATA)를 외부로 출력하도록 구성된다. 예를 들어, 데이터 입출력 회로(40)는 데이터 버퍼 등과 같은 구성 요소를 포함할 수 있다.
제어 로직(50)은 어드레스 디코더(20), 읽기/쓰기 회로(30), 및 데이터 입출력 회로(40)에 연결될 수 있다. 제어 로직(50)은 반도체 장치의 동작을 제어하도록 구성된다. 제어 로직(50)은 외부로부터 전달되는 제어 신호(CTRL)에 응답하여 동작할 수 있다.
도 8은 도 7의 메모리 셀 어레이(10)의 예를 나타내는 블록도이다. 도 8을 참조하면, 메모리 셀 어레이(10)는 복수 개의 메모리 블록들(BLK1~BLKn)을 포함할 수 있다. 각 메모리 블록은 3차원 구조(또는 수직 구조)를 가질 수 있다. 예를 들면, 각 메모리 블록은 서로 교차하는 제 1 내지 제 3 방향들(D1, D2, D3)로 연장된 구조물들을 포함할 수 있다. 예를 들면, 각 메모리 블록은 제 3 방향(D3)으로 연장된 복수 개의 셀 스트링들을 포함한다.
도 9는 본 발명의 개념에 의한 실시예들에 따른 것으로, 도 8의 메모리 블록의 셀 어레이(10)를 나타내는 간략 회로도이다. 도 9를 참조하면, 본 실시예에 따른 반도체 장치는 공통 소오스 라인(CSL), 비트라인들(BL), 및 공통 소오스 라인(CSL)과 비트라인들(BL) 사이에 배치되는 복수개의 셀 스트링들(CSTR)을 포함할 수 있다. 복수개의 셀 스트링들(CSTR)이 비트라인들(BL) 각각에 병렬로 연결된다.
셀 스트링들(CSTR) 각각은 공통 소오스 라인(CSL)에 접속하는 접지 선택 트랜지스터(GST), 비트라인들(BL) 각각에 접속하는 스트링 선택 트랜지스터(SST), 및 선택 트랜지스터들(GST, SST) 사이의 복수개의 메모리 셀 트랜지스터들(MCT)을 포함할 수 있다. 접지 선택 트랜지스터(GST), 스트링 선택 트랜지스터(SST), 및 메모리 셀 트랜지스터들(MCT)은 직렬로 연결될 수 있다. 접지 선택 라인(GSL), 복수개의 워드라인들(WL1 ~ WLn), 및 스트링 선택 라인(SSL)은 접지 선택 트랜지스터(GST), 메모리 셀 트랜지스터들(MCT), 및 스트링 선택 트랜지스터(SST)의 게이트 전극들에 각각 대응될 수 있다.
도 10a는 본 발명의 다른 실시예들에 따른 반도체 소자의 평면도이다. 도 10b는 도 10a의 I-I'선에 따른 단면도이다. 이하, 도 10a 및 도 10b를 참조하여, 본 발명의 다른 실시예에 따른 반도체 소자를 설명한다.
도 10a 및 10b를 참조하면, 본 발명의 다른 실시예에 따른 반도체 소자(200)는 기판(210), 적층 구조체들(ST), 매립 절연막(240), 배리어 막들(250), 콘택 플러그들(260), 및 수직 채널 구조체들(VCS)을 포함할 수 있다.
기판(210)은 소자 영역(DR) 및 연결 영역(CR)을 포함할 수 있다. 기판(210)은 제 1 도전형, 예를 들면 P형을 갖는 반도체 기판일 수 있다. 반도체 기판은 단결정 실리콘막, SOI(silicon on insulator), 실리콘 게르마늄(SiGe)막 상에 형성된 실리콘 막, 절연막 상에 형성된 실리콘 단결정막, 및 절연막 상에 형성된 폴리실리콘막을 구비하는 그룹에서 선택되는 적어도 하나를 포함할 수 있다.
적층 구조체들(ST)은 기판(210) 상에 교대로 적층된 복수개의 절연막들(220) 및 전극들(230)을 포함할 수 있다. 적층 구조체들(ST)은 제 1 방향(D1)으로 연장될 수 있다. 각각의 전극들(230)은 게이트부(GP), 콘택부(CP), 및 게이트부(GP)와 콘택부(CP)를 연결하는 연장부(EP)를 가질 수 있다. 게이트부(GP)는 소자 영역(DR) 상에 제공되고, 연장부(EP) 및 콘택부(CP)는 연결 영역(CR) 상에 제공될 수 있다. 전극들(230)은 연결 영역(CR)에서 계단식 구조(stepwise structure)를 가질 수 있다. 즉, 기판(210)에서 먼 전극들(230)일수록 일 방향(D1)으로의 길이가 짧을 수 있다. 다시 말해, 기판(210)에서 먼 전극들(230)일수록 연장부(EP)가 짧을 수 있다. 이에 따라, 각 전극들(230)의 콘택부(CP)가 노출될 수 있다. 각각의 전극들(230)의 콘택부(CP)는 전극들(230)의 상면에서 하면으로 연장되는 개구부(230a)를 가질 수 있다. 개구부(230a)의 평면적 단면은 원형일 수 있다(도 1a 및 도 1b 참조). 전극(230)은 도핑된 폴리실리콘, 금속(예를 들면, 텅스텐, 구리 또는 알루미늄), 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄) 또는 전이 금속(예를 들면, 티타늄 또는 탄탈늄) 중에서 선택된 적어도 하나를 포함할 수 있다. 절연막들(220)은 실리콘 산화막 및/또는 실리콘 질화막을 포함할 수 있다.
매립 절연막(240)은 전극들(230)의 단부들을 덮을 수 있다. 다만, 매립 절연막(240)은 개구부(230a)를 채우지 않을 수 있다. 매립 절연막(240)은 실리콘 산화막 및/또는 실리콘 질화막을 포함할 수 있다.
콘택 플러그들(260) 각각은 콘택 플레이트(261)과 콘택 바디(262)를 포함할 수 있다. 콘택 플레이트(261)은 각각의 전극들(230)의 개구부(230a) 내에 삽입되어 각각의 전극들(230)과 전기적으로 연결될 수 있다. 콘택 플레이트(261)는 원판(circular plate) 구조를 가질 수 있으며, 개구부(230a)를 따라 대응하는 전극(230)을 관통할 수 있다. 콘택 바디(262)의 하면은 콘택 플레이트(261)의 상면과 접할 수 있다. 콘택 플레이트(261)의 상면의 너비(W1)은 콘택 바디(262)의 하면의 너비(W2)보다 클 수 있다. 다시 말해, 콘택 플레이트(261)의 상면의 넓이는 콘택 바디(262)의 하면의 넓이보다 클 수 있다. 또한, 최상부 전극(230)의 콘택 플레이트(261)의 너비(W1a)는 최하부 전극(230)의 콘택 플레이트(261)의 너비(W1b)보다 클 수 있다. 콘택 바디(262)는 콘택 플레이트(261)의 상면으로부터 수직하게 연장되어 매립 절연막(240)을 관통할 수 있다. 콘택 플레이트(261)와 콘택 바디(262)는 동일한 물질을 포함할 수 있다. 예를 들어, 콘택 플레이트(261) 및 콘택 바디(262)는 금속(예를 들면, 텅스텐, 구리 또는 알루미늄), 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄) 또는 전이 금속(예를 들면, 티타늄 또는 탄탈늄) 중에서 선택된 적어도 하나를 포함할 수 있다. 제 1 패드들(PAD1)이 매립 절연막(240) 상에 제공되어 콘택 플러그들(260)에 접속될 수 있다.
배리어 막들(250)은 각각의 콘택 플레이트(261)의 표면 및 콘택 바디(262)의 측면을 컨포멀하게 덮을 수 있다. 다만, 콘택 플레이트(261)의 상면 중 콘택 바디(262)와 접하는 부분은 배리어 막(250)으로 덮이지 않을 수 있다. 이에 따라, 배리어 막들(250)은 콘택 플러그(260)와 절연막들(220) 사이, 콘택 플러그(260)와 전극들(230) 사이, 및 콘택 플러그(260)와 매립 절연막(240) 사이에 개재될 수 있다. 콘택 플레이트(261)와 이에 대응하는 전극(230)은 그들 사이에 개재된 배리어 막(250)을 통해 전기적으로 연결될 수 있다. 배리어 막(250)은 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄)을 포함할 수 있다.
수직 채널 구조체들(VCS)은 소자 영역(DR) 상에서 적층 구조체들(ST)을 관통할 수 있다. 다시 말해, 수직 채널 구조체들(VCS)은 절연막들(220) 및 전극들(230)의 게이트부(GP)를 관통할 수 있다. 일 실시예에 따르면, 수직 채널 구조체들(VCS)은 기판(210)에 연결될 수 있다. 수직 채널 구조체들(VCS)은 평면적 관점에서 일 방향으로 지그재그 형태로 배열될 수 있다. 이와 달리, 수직 채널 구조체들(VCS)은 평면적 관점에서 일 방향으로 배열될 수 있다. 각각의 수직 채널 구조체들(VCS)은 활성 기둥(AP) 및 활성 기둥(AP)의 측벽에 배치되는 데이터 저장막(DS)을 포함할 수 있다.
일 실시예에 따르면, 적층 구조체들(ST) 사이의 반도체 기판(210) 내에 공통 소오스 영역들(CSR)이 형성될 수 있다. 공통 소오스 영역들(CSR)은 제 1 방향(D1)으로 나란히 연장될 수 있다. 적층 구조체들(ST) 및 공통 소오스 영역들(CSR)은 제 2 방향(D2)으로 교대로 그리고 반복적으로 배열될 수 있다. 공통 소오스 영역들(CSR)은 기판(210) 내에 제 2 도전형의 불순물을 도핑하여 형성될 수 있다. 즉, 공통 소오스 영역들(CSR)은 기판(210)과 반대의 도전형을 가질 수 있다.
일 실시예에 따르면, 서로 인접하는 적층 구조체들(ST) 사이에 공통 소스 구조체(CSS)가 배치될 수 있다. 공통 소스 구조체(CSS)는 적층 구조체들(ST)의 측벽들을 덮는 측벽 절연 스페이서(SP)와, 측벽 절연 스페이서(SP)를 관통하여 공통 소오스 영역(CSR)과 접속되는 공통 소스 플러그(CSPLG)를 포함할 수 있다. 측벽 절연 스페이서(SP)는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 낮은 유전율을 가지는 low-k 물질로 형성될 수 있다 공통 소스 플러그(CSPLG)는 금속(예를 들어, 텅스텐, 구리 또는 알루미늄 등), 도전성 금속질화물(예를 들어, 질화티타늄 또는 질화탄탈늄 등) 및 전이 금속(예를 들어, 티타늄 또는 탄탈늄 등) 등에서 선택된 적어도 하나를 포함할 수 있다. 공통 소스 라인(CSL)이 매립 절연막(240) 상에 제공되어 공통 소스 플러그(CSPLG)와 연결될 수 있다.
제 1 캐핑 절연막(270)이 적층 구조체들(ST) 및 매립 절연막(240)을 덮을 수 있다. 제 1 캐핑 절연막(270)은 제 1 패드들(PAD1) 및 공통 소스 라인(CSL)을 덮을 수 있다. 제 1 캐핑 절연막(270)은 실리콘 산화막 및/또는 실리콘 질화막을 포함할 수 있다.
제 2 캐핑 절연막(280)이 제 1 캐핑 절연막(270) 상에 제공될 수 있다. 제 2 캐핑 절연막(280)은 실리콘 산화막 및/또는 실리콘 질화막을 포함할 수 있다. 제 2 캐핑 절연막(280) 상에 적층 구조체들(ST)을 가로질러 제 2 방향(D2)으로 연장되는 비트 라인들(BL)이 제공될 수 있다. 비트 라인들(BL)은 제 1 캐핑 절연막(270)을 관통하는 제 2 패드들(PAD2) 및 제 2 캐핑 절연막을 관통하는 비트 라인 플러그들(BPLG)을 통해 수직 채널 구조체들(VCS)과 전기적으로 연결될 수 있다.
도 11a 내지 도 11l은 본 발명의 다른 실시예들에 따른 반도체 소자의 제조 방법에 관한 도면들로, 도 10a의 I-I'선에 대응되는 단면도들이다. 이하, 도 11a 내지 도 11l을 참조하여, 본 발명의 다른 실시예들에 따른 반도체 소자의 제조 방법을 설명한다. 도 10a 및 도 10b를 참조하여 설명한 본 발명의 다른 실시예들에 따른 반도체 소자와 동일한 구성에 대하여는 동일한 참조번호가 제공되고, 설명의 간소화를 위해 중복되는 설명은 생략될 수 있다.
도 10a 및 도 11a를 참조하면, 기판(210) 상에 절연막들(220) 및 희생막들(221)이 교대로 적층될 수 있다. 기판(210)은 소자 영역(DR) 및 연결 영역(CR)을 포함할 수 있다. 기판(210)은 제 1 도전형, 예를 들면 P형을 갖는 반도체 기판일 수 있다. 반도체 기판은 단결정 실리콘막, SOI(silicon on insulator), 실리콘 게르마늄(SiGe)막 상에 형성된 실리콘 막, 절연막 상에 형성된 실리콘 단결정막, 및 절연막 상에 형성된 폴리실리콘막을 구비하는 그룹에서 선택되는 적어도 하나를 포함할 수 있다. 희생막들(221)은 절연막들(220)에 대하여 습식 식각 특성이 다른 물질을 포함할 수 있다. 희생막들(221)은, 예를 들면 실리콘 질화막, 실리콘 산화질화막, 폴리실리콘막 또는 폴리실리콘 게르마늄막을 포함할 수 있다. 희생막들(221) 및 절연막들(220)은 예를 들어, 화학적 기상 증착(CVD) 방법에 의하여 형성될 수 있다.
도 10a 및 도 11b를 참조하면, 연결 영역(CR) 상의 절연막들(220) 및 희생막들(221)이 계단식 구조를 갖도록 식각될 수 있다. 즉, 기판(210)에서 먼 희생막들(221)일수록 일 방향(D1)으로 짧을 수 있다. 또한, 연결 영역(CR)에서 절연막들(220) 및 희생막들(221)의 단부들을 덮는 매립 절연막(240)이 형성될 수 있다. 매립 절연막(240)은 희생막들(221)에 대하여 습식 식각 특성이 다른 물질을 포함할 수 있다. 예를 들어 매립 절연막(240)은 절연막들(221)과 동일한 물질을 포함할 수 있다.
도 10a 및 도 11c를 참조하면, 소자 영역(DR) 상에서 절연막들(220) 및 희생막들(221)을 관통하여 기판을 노출하는 수직 홀들(225)이 형성될 수 있다. 수직 홀들(225)을 형성하는 것은 이방성 식각 공정을 포함할 수 있다.
도 10a 및 도 11d를 참조하면, 수직 홀들(225)을 채우는 수직 채널 구조체들(VCS)이 형성될 수 있다. 각각의 수직 채널 구조체들(VCS)은 활성 기둥(AP) 및 활성 기둥(AP)의 측벽에 배치되는 데이터 저장막(DS)을 포함할 수 있다. 데이터 저장막(DS)는 수직 홀들의 내벽에 형성될 수 있으며, 예를 들어, 원자층 증착 방법(atomic layer deposition: ALD)으로 형성될 수 있다. 활성 기둥(AP)은 수직 홀들(225) 내의 데이터 저장막(DS) 사이에 형성될 수 있으며, 예를 들어, 화학적 기상 증착법(CVD) 또는 원자층 증착 방법(ALD)를 통해 형성될 수 있다.
도 10a 및 도 11e를 참조하면, 교대로 적층된 절연막들(220) 및 희생막들(221)을 패터닝하여 일방향(D1)으로 연장되는 분리 트렌치들(227)이 형성될 수 있다. 분리 트렌치들(227)에 의하여 기판(210)이 노출될 수 있고, 교대로 적층된 절연막들(220) 및 희생막들(221)은 복수개의 예비 적층 구조체들(PST)로 나눠질 수 있다.
도 10a 및 도 11f를 참조하면, 희생막들(221)을 제거하여 전극 영역들(229)을 형성할 수 있다. 전극 영역들(229)은 희생막들(221)이 제거된 영역에 해당되고, 수직 채널 구조체들(VCS), 절연막들(220), 및 매립 절연막(240)에 의해 한정된다. 희생막들(221)이 실리콘 질화막 또는 실리콘 산질화막을 포함하는 경우, 희생막(221)들의 제거 공정은 인산을 포함하는 식각 용액을 사용하여 수행될 수 있다.
도 10a 및 도 11g를 참조하면, 분리 트렌치들(227)을 통해 전극 영역들(229) 내에 도전막(미도시)이 형성될 수 있다. 도전막(미도시)은 도핑된 폴리실리콘, 금속(예를 들면, 텅스텐, 구리 또는 알루미늄), 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄) 또는 전이 금속(예를 들면, 티타늄 또는 탄탈늄) 중에서 선택된 적어도 하나를 포함할 수 있다. 도전막(미도시)은 원자층 증착 방법(ALD)에 의하여 형성될 수 있다.
이어서, 전극 영역들(229)의 외부(즉, 분리 트렌치들(227))에 형성된 도전막이 제거된다. 이에 따라, 전극 영역들(229)의 내에 전극들(230)이 형성될 수 있다. 분리 트렌치들(227)에 형성된 도전막이 제거되어 반도체 기판(210)이 노출될 수 있다. 노출된 반도체 기판(210)에 제 2 도전형의 불순물 이온이 고농도로 제공되어 공통 소스 영역들(CSR)이 형성될 수 있다.
각각의 전극들(230)은 게이트부(GP), 콘택부(CP), 및 게이트부(GP)와 콘택부(CP)를 연결하는 연장부(EP)를 가질 수 있다. 게이트부(GP)는 소자 영역(DR) 상에 제공되고, 연장부(EP) 및 콘택부(CP)는 연결 영역(CR) 상에 제공될 수 있다. 상술한 희생막(도 11b의 221 참조)과 마찬가지로 전극들(230)은 연결 영역(CR)에서 계단식 구조를 가질 수 있다. 즉, 기판(210)에서 먼 전극들(230)일수록 일 방향(D1)으로의 길이가 짧을 수 있다. 다시 말해, 기판(210)에서 먼 전극들(230)일수록 연장부(EP)가 짧을 수 있다. 이에 따라, 각 전극들(230)의 콘택부(CP)는 다른 전극들(230)에 의하여 노출될 수 있다.
도 10a 및 도 11h를 참조하면, 분리 트렌치들(227)을 채우는 공통 소스 구조체들(CSS)이 형성될 수 있다. 공통 소스 구조체들(CSS)은 측벽 절연 스페이서(SP)와 공통 소스 플러그(CSPLG)를 포함할 수 있다. 측벽 절연 스페이서(SP)는 실리콘 산화막 또는 실리콘 질화막을 증착하고, 이를 이방성 식각하는 공정에 의하여 형성될 수 있다. 공통 소스 플러그(CSPLG)는 측벽 절연 스페이서(SP) 사이를 채우며 형성될 수 있으며, 예를 들어 화학적 기상 증착법(CVD)을 통해 형성될 수 있다. 공통 소스 플러그(CSPLG)는 금속(예를 들어, 텅스텐, 구리 또는 알루미늄 등), 도전성 금속질화물(예를 들어, 질화티타늄 또는 질화탄탈늄 등) 및 전이 금속(예를 들어, 티타늄 또는 탄탈늄 등) 등에서 선택된 적어도 하나를 포함할 수 있으며, 공통 소스 영역(CSR)과 전기적으로 연결될 수 있다.
도 10a 및 도 11i를 참조하면, 절연막들(220) 및 매립 절연막(240)을 관통하여 각각의 전극들(230)의 콘택부(CP)에 인접하는 콘택 홀들(240a)이 형성될 수 있다. 콘택 홀들(240a)은 매립 절연막(240) 상에 매립 절연막(240)의 일부를 노출하는 마스크(미도시)를 형성하고, 이를 식각 마스크로 이용하여 매립 절연막(240)을 식각함으로써 형성될 수 있다. 이 때, 식각은 전극들(230)보다 절연막들(220) 및 매립 절연막(240)에 대하여 더 빠른 식각 속도를 가지는 건식 식각(dry etching)에 의해 수행될 수 있다.
식각이 수행됨에 따라, 기판(210)에서 먼 전극들(230)의 콘택부(CP)부터 차례대로 콘택 홀들(240a)에 의해 노출된다. 식각이 계속하여 수행됨에 따라, 노출된 전극들(230)의 콘택부(CP)에 리세스 영역(230b)이 형성될 수 있다. 리세스 영역(230b)의 깊이는 기판(210)에서 먼 전극들일수록 클 수 있다. 식각은 콘택 홀(240a)이 최하부 전극(230)에 인접할 때까지 수행될 수 있다. 식각의 수행 시간을 조정함으로써 최하부 전극(230)의 콘택부(CP)에 인접하는 콘택 홀(240a)의 깊이를 조절할 수 있다. 식각 시간을 충분히 길게 하면, 콘택 홀(240a)에 의해 최하부 전극(230)의 콘택부(CP)가 노출될 수 있다. 이러한 경우, 때때로 콘택 홀(240a)은 최상부 전극(230)을 관통할 수 있다. 때문에 식각 시간은 과도하게 충분히 할 수 없다. 이에 따라, 도 11i에 도시된 바와 같이, 최하부 전극(230)의 콘택부(CP)가 콘택 홀(240a)에 의해 노출되지 않을 수 있다. 다시 말해, 콘택 홀(240a)과 전극(230) 사이에 매립 절연막(240) 및/또는 절연막(220)의 잔존물(241)이 형성될 수 있다.
도 10a 및 도 11j를 참조하면, 콘택 홀들(240a)에 인접한 전극들(230)의 일부를 제거하여 각각의 전극들(230) 내에 개구부(230a)를 형성할 수 있다. 개구부(230a)는 절연막들(220) 및 매립 절연막(240)보다 전극들(230)에 대하여 더 빠른 식각 속도를 가지는 습식 식각을 수행함으로써 형성될 수 있다. 습식 식각에 의하여 매립 절연막(240)이 전혀 식각되지 않는 것은 아닐 수 있다. 따라서, 습식 식각에 의하여 콘택 홀(240a)의 내벽 부분의 매립 절연막(240)이 식각될 수 있으며, 이에 따라 콘택 홀(240a)의 너비가 커질 수 있다.
콘택 홀(240a)에 의하여 전극(230)의 콘택부(CP)가 노출된 경우, 노출된 부분을 중심으로 전극(230)의 식각이 진행될 수 있다. 이에 따라, 개구부(230a)는 전극(230)의 상면에서 하면으로 연장될 수 있으며, 개구부(230a)의 평면적 단면은 콘택 홀(240a)을 중심으로 하는 원의 형태로 형성될 수 있다. 또한, 개구부(230a)는 콘택 홀(240a)과 연결될 수 있다.
도 11i에 도시된 최하부 전극(230)과 같이 콘택 홀(240a)과 전극(230) 사이에 잔존물(11i의 241 참조)이 형성된 경우, 습식 식각에 의하여 잔존물(11i의 241 참조)이 제거될 수 있다. 이러한 경우, 최하부 전극(230)의 실질적인 식각 수행 시간은 최상부 전극(230)보다 짧기 때문에, 최상부 전극(230)의 개구부(230a)의 너비(W3a)는 최하부 전극(230)의 개구부(230a)의 너비(W3b)보다 클 수 있다. 잔존물(11i의 241 참조)이 제거됨에 따라, 콘택 홀(240a)은 전극(230)의 상면까지 연장될 수 있고, 콘택 홀(240a)를 통해 전극(230)이 노출될 수 있다. 결국, 노출된 부분을 중심으로 전극(230)의 식각이 진행될 수 있다. 이에 따라, 개구부(230a)는 전극(230)의 상면에서 하면으로 연장될 수 있으며, 개구부(230a)의 평면적 단면은 콘택 홀(240a)을 중심으로 하는 원의 형태로 형성될 수 있다. 또한, 개구부(230a)는 콘택 홀(240a)과 연결될 수 있다.
습식 식각의 수행 시간을 조절함으로써, 개구부(230a)의 크기를 조절할 수 있다. 다시 말해, 습식 식각의 수행 시간을 길게 할수록 전극(230)이 식각되는 정도가 커지기 때문에, 개구부(230a)의 크기는 커질 수 있다. 따라서, 습식 식각의 수행 시간을 충분히 길게 하면, 개구부(230a)의 너비(W3)는 콘택 홀(240a)의 너비(W4)보다 커질 수 있다.
도 10a 및 도 11k를 참조하면, 개구부(230a) 및 콘택 홀들(240a)의 내벽을 컨포멀하게 덮는 배리어 막들(250)이 형성될 수 있다. 배리어 막들(250)은, 예를 들어, 화학적 기상 증착법(CVD)을 통해 형성될 수 있다. 배리어 막들(250)은 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄)을 포함할 수 있다.
도 10a 및 도 11l을 참조하면, 개구부들(230a) 및 콘택 홀들(240a)을 채우는 콘택 플러그들(260)을 형성할 수 있다. 각각의 콘택 플러그들(260)은 개구부(230a)를 채우는 부분인 콘택 플레이트(261)와 콘택 홀(240a)을 채우는 부분인 콘택 바디(262)를 포함할 수 있다. 개구부(230a)와 콘택 홀(240a)은 연결되므로, 콘택 바디(262)의 하면은 콘택 플레이트(261)의 상면과 접할 수 있다. 콘택 플레이트(261)는 배리어 막(250)을 통해 대응하는 전극(230)과 전기적으로 연결될 수 있다. 콘택 플레이트(261)는 개구부(230a)의 형태를 따라, 원판 구조를 가질 수 있으며, 대응하는 전극(230)을 관통하도록 형성될 수 있다. 개구부(230a)의 너비는 콘택 홀(240a)의 너비보다 클 수 있기 때문에, 콘택 플레이트(261)의 상면의 너비(W1)은 콘택 바디(262)의 하면의 너비(W2)보다 클 수 있다. 콘택 플러그는 화학적 기상 증착법(CVD)을 통해 형성될 수 있다. 콘택 플레이트(261)와 콘택 바디(262)는 동일한 물질을 포함할 수 있다. 예를 들어, 콘택 플레이트(261) 및 콘택 바디(262)는 금속(예를 들면, 텅스텐, 구리 또는 알루미늄), 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄) 또는 전이 금속(예를 들면, 티타늄 또는 탄탈늄) 중에서 선택된 적어도 하나를 포함할 수 있다.
본 발명에 따르면, 콘택 홀들(240a)을 위한 식각 수행 시간이 충분하지 않거나 공정 균일도에 따라서 콘택 홀들(240a)과 전극들(230)(특히, 최하부 전극) 사이에 잔존물(도 11i의 241 참조)이 형성된다 하더라도, 습식 식각에 의해 잔존물(도 11i의 241 참조)이 제거될 수 있다. 따라서, 전극들(230)과 안정적으로 접촉하는 콘택 플러그들(260)이 형성될 수 있다.
나아가, 콘택 홀들(240a)의 형성 시, 최하부 전극(230)의 노출을 위해 건식 식각의 수행 시간을 무리하게 늘릴 경우, 최상부 전극(230)이 뚫려 전극 간 단락 불량이 발생할 위험이 있다. 본 발명에 따르면, 최하부 전극(230)의 노출을 위해 건식 식각의 수행 시간을 무리하게 늘릴 필요가 없으므로, 이러한 전극 뚫림에 의한 전극 단락을 억제할 수 있다.
도 10a 및 도 10b를 다시 참조하면, 매립 절연막(240) 상에, 공통 소스 플러그(CSPLG)에 접속되는 공통 소스 라인(CSL) 및 콘택 플러그들(260)에 접속되는 제 1 패드들(PAD1)이 형성될 수 있다.
이어서, 공통 소스 라인(CSL) 및 제 1 패드들(PAD1)을 덮는 제 1 캐핑 절연막(270)이 형성될 수 있다. 제 1 캐핑 절연막(270)은 실리콘 산화막 및/또는 실리콘 질화막을 포함할 수 있다. 제 1 캐핑 절연막(270)을 관통하여 활성 기둥(AP)과 전기적으로 연결되는 제 2 패드들(PAD2)이 형성될 수 있다.
그 후, 제 1 캐핑 절연막(270)을 덮는 제 2 캐핑 절연막(280)이 형성될 수 있다. 또한, 제 2 캐핑 절연막(280)을 관통하여 제 2 패드들(PAD2)과 전기적으로 연결되는 비트 라인 플러그들(BPLG)이 형성될 수 있다. 비트 라인들(BL)이 비트 라인 플러그들(BPLG) 상에 형성되어 비트 라인 플러그들(BPLG)을 제 2 방향(D2)으로 연결할 수 있다. 비트 라인들(BL) 및 비트 라인 플러그들(BPLG)은 금속(예를 들면, 텅스텐, 구리 또는 알루미늄), 도전성 금속질화물(예를 들면, 질화티타늄 또는 질화탄탈늄) 또는 전이 금속(예를 들면, 티타늄 또는 탄탈늄)에서 선택된 적어도 하나를 포함할 수 있다.
도 12는 본 발명의 또 다른 실시예들에 따른 것으로, 도 8의 메모리 블록의 셀 어레이를 나타내는 간략 회로도이다.
도 12를 참조하면, 본 실시예들에 따른 반도체 소자의 셀 어레이는 공통 소스 라인(CSL), 복수 개의 비트 라인들(BL), 및 공통 소스 라인(CSL)과 비트 라인들(BL) 사이의 셀 스트링(CSTR)을 포함할 수 있다.
공통 소스 라인(CSL)은 기판 상에 배치되는 도전성 박막일 수 있고, 비트 라인들(BL)은 기판 상에 배치되는 도전성 패턴들(일 예로, 금속 라인)일 수 있다.
셀 스트링(CSTR)은 비트 라인들(BL)에 각각 연결된 복수 개의 상부 스트링들(CSTR1), 및 공통 소스 라인(CSL)에 연결된 단일의 하부 스트링(CSTR2)을 포함할 수 있다. 복수 개의 상부 스트링들(CSTR1)은 단일의 하부 스트링(CSTR2)에 공통적으로 연결될 수 있다. 상부 스트링들(CSTR1)의 각각은 스위칭 소자(SW)를 통해 하부 스트링(CSTR2)에 공통적으로 연결될 수 있다. 상부 스트링들(CSTR1)에 연결된 스위칭 소자들(SW)은 전기적으로 동일한 전압이 인가되도록 제어될 수 있다.
상부 스트링들(CSTR1)의 각각은 비트 라인들(BL)의 각각에 접속하는 스트링 선택 트랜지스터(SST), 및 스트링 선택 트랜지스터(SST)와 스위칭 소자(SW) 사이에 배치되는 복수 개의 상부 메모리 셀 트랜지스터들(MCT1)을 포함할 수 있다. 스트링 선택 트랜지스터(SST) 및 상부 메모리 셀 트랜지스터들(MCT1)은 직렬로 연결될 수 있다. 하부 스트링(CSTR2)은 공통 소스 라인(CSL)에 접속하는 접지 선택 트랜지스터(GST), 및 접지 선택 트랜지스터(GST)와 스위칭 소자들(SW) 사이에 배치되는 복수 개의 하부 메모리 셀 트랜지스터들(MCT2)을 포함할 수 있다. 접지 선택 트랜지스터(GST) 및 하부 메모리 셀 트랜지스터들(MCT2)은 직렬로 연결될 수 있다.
비트 라인들(BL)과 스위칭 소자들(SW) 사이에 배치되는 스트링 선택 라인(SSL) 및 상부 워드 라인들(WL1(0)-WL1(3))은, 스트링 선택 트랜지스터(SST) 및 상부 메모리 셀 트랜지스터들(MCT1)의 게이트 전극들로 각각 이용될 수 있다. 공통 소스 라인(CSL)과 스위칭 소자들(SW) 사이에 배치되는 접지 선택 라인(GSL) 및 하부 워드 라인들(WL2(0)-WL2(3))은, 접지 선택 트랜지스터(GST) 및 하부 메모리 셀 트랜지스터들(MCT2)의 게이트 전극들로 각각 이용될 수 있다. 상부 및 하부 메모리 셀 트랜지스터들(MCT1, MCT2)의 각각은 정보저장 요소를 포함할 수 있다.
비트 라인들(BL)의 각각에 연결된 복수 개의 상부 스트링들(CSTR1)이 공통 소스 라인에 연결된 단일의 하부 스트링(CSTR2)에 공통적으로 연결될 수 있다. 이에 따라, 비트 라인들(BL)에 각각 접속하는 스트링 선택 트랜지스터들(SST)을 포함하는 상부 스트링들(CSTR1)은, 단일의 하부 스트링(CSTR2)에 포함된 접지 선택 트랜지스터(GST)를 공유할 수 있다. 즉, 서로 다른 비트 라인들에 연결되어 독립적으로 동작하는 상부 스트링들(CSTR1)이 단일의 하부 스트링(CSTR2)에 공통적으로 연결되어 접지 선택 트랜지스터(GST)를 공유하도록 구성됨에 따라, 고집적화에 최적화된 반도체 소자가 제공될 수 있다.
도 13은 본 발명의 또 다른 실시예들에 따른 반도체 소자의 단면도로서, 도 10a 및 10b를 참조하여 설명한 반도체 소자의 소자 영역(도 10a 및 10b의 DR 참조)에 대응되는 부분을 도시한 것이다. 이하, 도 13을 참조하여 본 발명의 또 다른 실시예에 따른 반도체 장치를 설명한다.
도 13을 참조하면, 본 발명의 또 다른 실시예들에 따른 반도체 장치(300)는 기판(310), 기판(310) 상의 비트 라인들(BL), 기판(310)과 비트 라인들(BL) 사이의 적층 구조체들(ST), 적층 구조체들(ST)과 비트 라인들(BL) 사이의 공통 소스 라인(CSL), 및 적층 구조체들(ST)을 관통하는 수직 채널 구조체들(VCS)을 포함할 수 있다. 적층 구조체들(ST)은 교대로 적층된 복수개의 절연막들(320) 및 전극들(330)을 포함할 수 있다. 각각의 수직 채널 구조체들(VCS)은 활성 기둥(AP), 데이터 저장막(DS), 및 충진 절연막(FI)을 포함할 수 있다. 활성 기둥(AP)은 비트 라인들(BL)과 공통 소스 라인(CSL)을 연결할 수 있다. 적층 구조체들(ST)과 비트 라인들(BL) 사이에, 이들 사이의 전기적 연결을 위한 비트 라인 플러그들(PLG) 및 패드(PAD)가 더 배치될 수 있다.
적층 구조체들(ST)은 제 1 방향(D1)으로 연장될 수 있다. 적층 구조체들(ST)은 제 1 방향(D1)으로 연장되는 분리 트렌치들(327)에 의하여, 제 1 방향에 교차하는(예를 들어, 직교하는) 제 2 방향(D2)으로 서로 이격될 수 있다. 적층 구조체들(ST)은 교대로 적층된 복수개의 절연막들(320) 및 전극들(330)을 포함할 수 있다. 전극들(330)은 도핑된 실리콘, 금속(예를 들어, 텅스텐), 금속 질화물, 금속 실리사이드들 또는 이들의 조합을 포함할 수 있다. 절연막들(320)은 실리콘 산화막일 수 있다.
전극들(330)은 기판(310) 상에 차례로 수직적으로(제 3 방향, D3) 적층될 수 있다. 전극들(330)은 스트링 선택 라인(SSL), 워드 라인들(WL), 및 접지 선택 라인(GSL)을 포함할 수 있다. 스트링 선택 라인(SSL)은 워드 라인들(WL)과 비트 라인들(BL) 사이에 배치된다. 접지 선택 라인(GSL)은 워드 라인들(WL)과 공통 소스 라인(CSL) 사이에 배치된다. 워드 라인들(WL)은 기판(310) 상에 순차적으로 적층된다. 스트링 선택 라인(SSL) 및 접지 선택 라인(GSL)은 워드 라인들(WL) 상에 배치될 수 있다. 스트링 선택 라인(SSL)과 접지 선택 라인(GSL)은 분리 트렌치(327)에 의하여 제 2 방향(D2)으로 서로 이격될 수 있다. 워드 라인들(WL)은 기판(310)과 스트링 선택 라인(SSL) 사이에 배치되는 상부 워드 라인들(WL1), 및 기판(310)과 접지 선택 라인(GSL) 사이에 배치되는 하부 워드 라인들(WL2)을 포함할 수 있다. 상부 워드 라인들(WL1)과 하부 워드 라인들(WL2)은 분리 트렌치(327)에 의하여 제 2 방향(D2)으로 서로 이격될 수 있다.
스트링 선택 라인(SSL)과 접지 선택 라인(GSL) 사이, 및 상부 워드 라인들(WL1)과 하부 워드 라인들(WL2) 사이에 소자 분리 패턴(DSP)이 제공될 수 있다. 소자 분리 패턴(DSP)은 제 1 방향(D1)으로 연장되는 라인 형태일 수 있다. 소자 분리 패턴(DSP)은 분리 트렌치(327)를 채우는 실리콘 산화막, 실리콘 질화막, 및/또는 실리콘 산질화막 중 적어도 하나를 포함할 수 있다.
복수개의 활성 기둥들(AP)은 적층 구조체(ST)를 관통할 수 있다. 활성 기둥들(AP)은 평면적 관점에서 제 1 방향(D1)을 따라 배열될 수 있다.
활성 기둥들(AP)의 각각은 적층 구조체들(ST)을 관통하는 수직 부분들(VP) 및 적층 구조체들(ST) 아래에서 수직 부분들(VP)을 연결하는 수평 부분(HP)을 포함할 수 있다. 수직 부분들(VP)은 적층 구조체(ST)를 관통하는 수직 홀들(325) 내에 제공될 수 있다. 수평 부분(HP)은 기판(310) 상부의 수평 리세스부(RC) 내에 제공될 수 있다. 수직 부분들(VP) 중의 하나는 공통 소스 라인(CSL)에 연결되고, 수직 부분들(VP) 중의 다른 하나는 비트 라인들(BL) 중의 어느 하나에 연결될 수 있다. 수평 부분(HP)은 기판(310)과 적층 구조체(ST) 사이에 제공되어 수직 부분들(VP)을 연결할 수 있다.
보다 구체적으로, 활성 기둥들(AP)의 각각에 있어서, 수직 부분들(VP)은 상부 워드 라인들(WL1)과 스트링 선택 라인(SSL)을 관통하는 제 1 수직 부분(VP1), 및 하부 워드 라인들(WL2)과 접지 선택 라인(GSL)을 관통하는 제 2 수직 부분(VP2)을 포함할 수 있다. 제 1 수직 부분(VP1)은 비트 라인들(BL) 중 어느 하나에 연결되고, 제 2 수직 부분(VP2)은 공통 소스 라인(CSL)에 연결될 수 있다. 수평 부분(HP)은 상부 워드 라인들(WL1)의 아래에서 하부 워드 라인들(WL2)의 아래로 연장되어 제 1 수직 부분(VP1) 및 제 2 수직 부분(VP2)을 연결할 수 있다.
활성 기둥들(AP)의 각각은 속이 빈 실린더 형(예를 들면, 마카로니(macaroni))으로, 내부 홀(IH)을 가질 수 있다. 활성 기둥들(AP)의 내부 홀들(IH)은 충진 절연막(FI)으로 채워질 수 있다. 충진 절연막(FI)은 실리콘 산화막으로 형성될 수 있다.
활성 기둥들(AP)과 전극들(330)사이에 데이터 저장막(DS)이 제공될 수 있다. 게이트 절연막(GOX)이 활성 기둥들(AP)과 기판(310) 사이에 제공될 수 있다. 게이트 절연막(GOX)은 실리콘 산화막일 수 있다.
제 1 방향(D1)으로 연장된 적층 구조체들(ST)은 도 10a 및 10b를 참조하여 설명한 연결 영역(도 10a 및 10b의 CR 참조)과 실질적으로 동일한 구조를 갖는 연결 영역(미도시)을 형성할 수 있다. 제 1 방향(D1)으로 연장된 각각의 전극들(330)은 콘택부 및 소자 영역에서 연장되어 콘택부와 연결되는 연장부를 가질 수 있다. 전극들(330)은 연결 영역에서 계단식 구조를 가질 수 있으며, 이에 따라, 각 전극들(330)의 콘택부는 노출될 수 있다. 매립 절연막(미도시)이 연결 영역 상에 제공되어 전극들(330)의 단부를 덮을 수 있다. 각각의 전극들(330)의 콘택부는 전극들(330)의 상면에서 하면으로 연장되는 개구부(미도시)를 가질 수 있다. 개구부의 평면적 단면은 원형일 수 있다. 연결 영역 상에 콘택 플러그들(미도시)이 배치될 수 있다. 콘택 플러그들은 각각 콘택 플레이트과 콘택 바디를 포함할 수 있다. 콘택 플레이트는 각각의 전극들(330)의 개구부 내에 삽입되어 각각의 전극들(330)과 전기적으로 연결될 수 있다. 콘택 플레이트는 원판 구조를 가질 수 있으며, 개구부를 따라 대응하는 전극을 관통할 수 있다. 콘택 바디의 하면은 콘택 플레이트의 상면과 접할 수 있다. 콘택 플레이트의 상면의 너비은 콘택 바디의 하면의 너비보다 클 수 있다. 콘택 바디는 콘택 플레이트의 상면으로부터 수직하게 연장되어 매립 절연막을 관통할 수 있다. 배리어 막들(미도시)은 각각의 콘택 플레이트의 표면 및 콘택 바디의 측면을 컨포멀하게 덮을 수 있다.
도 14는 본 발명의 개념에 의한 실시예들에 따라 형성된 메모리 장치를 포함하는 전자 시스템의 일 예를 나타내는 개략 블록도이다.
도 14를 참조하면, 본 발명의 실시예들에 따른 전자 시스템(1100)은 컨트롤러(1110), 입출력 장치(1120, I/O), 기억 장치(1130, memory device), 인터페이스(1140) 및 버스(1150, bus)를 포함할 수 있다. 컨트롤러(1110), 입출력 장치(1120), 기억 장치(1130) 및/또는 인터페이스(1140)는 상기 버스(1150)를 통하여 서로 결합 될 수 있다. 버스(1150)는 데이터들이 이동되는 통로(path)에 해당한다. 기억 장치(1130, memory device)는 본 발명의 실시예들에 따른 메모리 장치를 포함할 수 있다.
컨트롤러(1110)는 마이크로프로세서, 디지털 신호 프로세스, 마이크로 컨트롤러, 및 이들과 유사한 기능을 수행할 수 있는 논리 소자들 중에서 적어도 하나를 포함할 수 있다. 입출력 장치(1120)는 키패드(keypad), 키보드 및 디스플레이 장치 등을 포함할 수 있다. 기억 장치(1130)는 데이터 및/또는 명령어 등을 저장할 수 있다. 인터페이스(1140)는 통신 네트워크로 데이터를 전송하거나 통신 네트워크로부터 데이터를 수신하는 기능을 수행할 수 있다. 인터페이스(1140)는 유선 또는 무선 형태일 수 있다. 예컨대, 인터페이스(1140)는 안테나 또는 유무선 트랜시버 등을 포함할 수 있다. 도시하지 않았지만, 전자 시스템(1100)은 컨트롤러(1110)의 동작을 향상시키기 위한 동작 기억 소자로서, 고속의 디램 소자 및/또는 에스램 소자 등을 더 포함할 수도 있다.
전자 시스템(1100)은 개인 휴대용 정보 단말기(PDA, personal digital assistant) 포터블 컴퓨터(portable computer), 웹 타블렛(web tablet), 무선 전화기(wireless phone), 모바일 폰(mobile phone), 디지털 뮤직 플레이어(digital music player), 메모리 카드(memory card), 또는 정보를 무선환경에서 송신 및/또는 수신할 수 있는 모든 전자 제품에 적용될 수 있다.
도 15는 본 발명의 개념에 의한 실시예들에 따라 형성된 메모리 장치를 구비하는 메모리 카드의 일 예를 나타내는 개략 블록도이다.
도 15를 참조하면, 메모리 카드(1200)는 기억 장치(1210)를 포함한다. 기억 장치(1210)는 전술한 실시예들에 개시된 메모리 장치를 포함할 수 있다. 또한, 기억 장치(1210)는 다른 형태의 반도체 메모리 장치(ex, 디램 장치 및/또는 에스램 장치 등)를 더 포함할 수 있다. 메모리 카드(1200)는 호스트(Host)와 기억 장치(1210) 간의 데이터 교환을 제어하는 메모리 컨트롤러(1220)를 포함할 수 있다.
메모리 컨트롤러(1220)는 메모리 카드의 전반적인 동작을 제어하는 프로세싱 유닛(1222)을 포함할 수 있다. 또한, 메모리 컨트롤러(1220)는 프로세싱 유닛(1222)의 동작 메모리로써 사용되는 에스램(1221, SRAM)을 포함할 수 있다. 이에 더하여, 메모리 컨트롤러(1220)는 호스트 인터페이스(1223), 메모리 인터페이스(1225)를 더 포함할 수 있다. 호스트 인터페이스(1223)는 메모리 카드(1200)와 호스트(Host)간의 데이터 교환 프로토콜을 구비할 수 있다. 메모리 인터페이스(1225)는 메모리 컨트롤러(1220)와 기억 장치(1210)를 접속시킬 수 있다. 더 나아가서, 메모리 컨트롤러(1220)는 에러 정정 블록(1224, Ecc)를 더 포함할 수 있다. 에러 정정 블록(1224)은 기억 장치(1210)로부터 독출된 데이터의 에러를 검출 및 정정할 수 있다. 도시하지 않았지만, 메모리 카드(1200)는 호스트(Host)와의 인터페이싱을 위한 코드 데이터를 저장하는 롬 장치(ROM device)를 더 포함할 수도 있다. 메모리 카드(1200)는 휴대용 데이터 저장 카드로 사용될 수 있다. 이와는 달리, 메모리 카드(1200)는 컴퓨터시스템의 하드디스크를 대체할 수 있는 고상 디스트(SSD, Solid State Disk)로도 구현될 수 있다.
도 16은 본 발명의 개념에 의한 실시예들에 따라 형성된 메모리 장치를 장착한 정보 처리 시스템의 일 예를 나타내는 개략 블록도이다.
도 16을 참조하면, 모바일 기기나 데스크 톱 컴퓨터와 같은 정보 처리 시스템에 플래시 메모리 시스템(1310)이 장착된다. 플래시 메모리 시스템(1310)은 플래시 메모리(1311) 및 메모리 콘트롤러(1312)를 포함할 수 있다. 플래시 메모리(1311)는 본 발명의 개념에 의한 실시예들에 따른 메모리 장치를 포함할 수 있다. 정보 처리 시스템(1300)은 플래시 메모리 시스템(1310)과 각각 시스템 버스(1360)에 전기적으로 연결된 모뎀(1320), 중앙처리장치(1330), 램(1340), 유저 인터페이스(1350)를 포함한다. 플래시 메모리 시스템(1310)에는 중앙처리장치(1330)에 의해서 처리된 데이터 또는 외부에서 입력된 데이터가 저장된다. 여기서, 상술한 플래시 메모리 시스템(1310)이 반도체 디스크 장치(SSD)로 구성될 수 있으며, 이 경우 정보 처리 시스템(1300)은 대용량의 데이터를 플래시 메모리 시스템(1310)에 안정적으로 저장할 수 있다. 그리고 신뢰성의 증대에 따라, 플래시 메모리 시스템(1310)은 에러 정정에 소요되는 자원을 절감할 수 있어 고속의 데이터 교환 기능을 정보 처리 시스템(1300)에 제공할 것이다. 도시되지 않았지만, 정보 처리 시스템(1300)에는 응용 칩셋(Application Chipset), 카메라 이미지 프로세서(Camera Image Processor: CIS), 입출력 장치 등이 더 제공될 수 있음은 이 분야의 통상적인 지식을 습득한 자들에게 자명하다.
이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.

Claims (10)

  1. 기판 상에 배치되는 도전성 패턴;
    상기 도전성 패턴을 덮는 매립 절연막; 및
    상기 도전성 패턴 내에 삽입되며 상기 도전성 패턴과 전기적으로 연결되는 콘택 플레이트 및 상기 콘택 플레이트의 상면과 접하며 상기 매립 절연막을 관통하는 콘택 바디를 갖는 콘택 플러그를 포함하되,
    상기 콘택 플레이트의 상면의 너비는 상기 콘택 바디의 하면의 너비보다 큰 반도체 소자.
  2. 제 1 항에 있어서,
    상기 콘택 플레이트는 원판(circular plate) 구조를 갖는 반도체 소자.
  3. 제 1 항에 있어서,
    상기 콘택 플레이트의 표면 및 상기 콘택 바디의 측면을 컨포멀하게 덮는 배리어 막을 더 포함하는 반도체 소자.
  4. 제 1 항에 있어서,
    상기 콘택 플레이트는 상기 도전성 패턴을 관통하는 반도체 소자.
  5. 기판 상에 도전성 패턴을 형성하는 것;
    상기 도전성 패턴을 덮는 매립 절연막을 형성하는 것;
    상기 매립 절연막을 관통하여 상기 도전성 패턴에 인접하는 콘택 홀을 형성하는 것;
    상기 콘택 홀에 인접한 상기 도전성 패턴의 일부를 제거하여 상기 콘택 홀과 연결되는 개구부를 형성하는 것; 및
    상기 콘택 홀 및 상기 개구부를 채우는 콘택 플러그를 형성하는 것을 포함하되,
    상기 개구부의 너비는 상기 콘택 홀의 너비보다 큰 반도체 소자의 제조 방법.
  6. 제 5 항에 있어서,
    상기 콘택 플러그를 형성하기 전에, 상기 콘택 홀 및 상기 개구부를 컨포멀하게 덮는 배리어 막을 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
  7. 제 5 항에 있어서,
    상기 개구부는 상기 도전성 패턴의 상면에서 하면으로 연장되도록 형성되는 반도체 소자의 제조 방법.
  8. 제 5 항에 있어서,
    상기 개구부를 형성하는 것은 습식 식각을 수행하여 상기 도전성 패턴의 일부를 제거하는 것을 포함하는 반도체 소자의 제조 방법.
  9. 제 8 항에 있어서,
    상기 습식 식각은 상기 매립 절연막 보다 상기 도전성 패턴에 대하여 더 빠른 식각 속도를 가지는 반도체 소자의 제조 방법.
  10. 제 8 항에 있어서,
    상기 콘택 홀을 형성하는 것은 상기 콘택 홀과 상기 도전성 패턴 사이에 상기 매립 절연막의 잔존물을 형성하는 것을 포함하되,
    상기 습식 식각은 상기 잔존물을 제거하는 것을 포함하는 반도체 소자의 제조 방법.
KR1020140177548A 2014-12-10 2014-12-10 반도체 소자 및 그 제조 방법 KR102307633B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020140177548A KR102307633B1 (ko) 2014-12-10 2014-12-10 반도체 소자 및 그 제조 방법
US14/956,735 US9711603B2 (en) 2014-12-10 2015-12-02 Semiconductor device and method for manufacturing the same
US15/591,736 US10103236B2 (en) 2014-12-10 2017-05-10 Semiconductor device and method for manufacturing the same
US16/121,020 US10608091B2 (en) 2014-12-10 2018-09-04 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140177548A KR102307633B1 (ko) 2014-12-10 2014-12-10 반도체 소자 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20160070896A true KR20160070896A (ko) 2016-06-21
KR102307633B1 KR102307633B1 (ko) 2021-10-06

Family

ID=56111902

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140177548A KR102307633B1 (ko) 2014-12-10 2014-12-10 반도체 소자 및 그 제조 방법

Country Status (2)

Country Link
US (3) US9711603B2 (ko)
KR (1) KR102307633B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220025633A (ko) * 2020-08-24 2022-03-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 주입을 통한 콘택트 플러그의 저부 횡방향 확장
US11296021B2 (en) 2019-10-01 2022-04-05 SK Hynix Inc. Semiconductor memory device and manufacturing method thereof
US11683931B2 (en) 2019-08-26 2023-06-20 SK Hynix Inc. Semiconductor memory device and method of manufacturing the same

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150139255A (ko) 2014-06-03 2015-12-11 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102307633B1 (ko) * 2014-12-10 2021-10-06 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102392685B1 (ko) * 2015-07-06 2022-04-29 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
KR102447489B1 (ko) * 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
US9831121B2 (en) * 2015-09-14 2017-11-28 Toshiba Memory Corporation Semiconductor memory device with contact plugs extending inside contact connection portions
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102650535B1 (ko) * 2016-01-18 2024-03-25 삼성전자주식회사 3차원 반도체 메모리 장치
US9633945B1 (en) * 2016-01-27 2017-04-25 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102495914B1 (ko) 2016-08-11 2023-02-03 삼성전자 주식회사 반도체 소자
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180066650A (ko) * 2016-12-09 2018-06-19 삼성전자주식회사 반도체 소자
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018152412A (ja) * 2017-03-10 2018-09-27 東芝メモリ株式会社 半導体装置及びその製造方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US9960272B1 (en) * 2017-05-16 2018-05-01 International Business Machines Corporation Bottom contact resistance reduction on VFET
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102421766B1 (ko) * 2017-07-07 2022-07-18 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107644876B (zh) 2017-08-28 2019-01-01 长江存储科技有限责任公司 台阶结构及其形成方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102624625B1 (ko) * 2018-04-20 2024-01-12 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US10825867B2 (en) 2018-04-24 2020-11-03 Micron Technology, Inc. Cross-point memory array and related fabrication techniques
KR102633073B1 (ko) * 2018-04-24 2024-02-06 삼성전자주식회사 반도체 메모리 소자
US10729012B2 (en) 2018-04-24 2020-07-28 Micron Technology, Inc. Buried lines and related fabrication techniques
US10950663B2 (en) 2018-04-24 2021-03-16 Micron Technology, Inc. Cross-point memory array and related fabrication techniques
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN109244077B (zh) * 2018-09-05 2020-07-03 长江存储科技有限责任公司 三维存储器的制作方法
US11758716B2 (en) * 2018-09-05 2023-09-12 Micron Technology, Inc. Electronic devices including vertical memory cells and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210037060A (ko) * 2019-09-26 2021-04-06 삼성전자주식회사 3차원 반도체 메모리 장치
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210077217A (ko) 2019-12-17 2021-06-25 삼성전자주식회사 반도체 소자
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11527500B2 (en) 2020-03-20 2022-12-13 Sandisk Technologies Llc Semiconductor structure containing multilayer bonding pads and methods of forming the same
WO2021188133A1 (en) * 2020-03-20 2021-09-23 Sandisk Technologies Llc Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same
US11145628B1 (en) 2020-03-20 2021-10-12 Sandisk Technologies Llc Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same
US11201139B2 (en) 2020-03-20 2021-12-14 Sandisk Technologies Llc Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11621202B2 (en) 2021-03-02 2023-04-04 Western Digital Technologies, Inc. Electrical overlay measurement methods and structures for wafer-to-wafer bonding
US11569139B2 (en) 2021-03-02 2023-01-31 Western Digital Technologies, Inc. Electrical overlay measurement methods and structures for wafer-to-wafer bonding
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5619071A (en) * 1994-10-17 1997-04-08 Intel Corporation Anchored via connection
US20070040188A1 (en) * 2005-08-19 2007-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Contact or via hole structure with enlarged bottom critical dimension
KR20110108220A (ko) * 2010-03-26 2011-10-05 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
KR20130116607A (ko) * 2012-04-16 2013-10-24 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR20150064520A (ko) * 2013-12-03 2015-06-11 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5070391A (en) 1989-11-30 1991-12-03 Sgs-Thomson Microelectronics, Inc. Semiconductor contact via structure and method
US6028363A (en) * 1997-06-04 2000-02-22 Taiwan Semiconductor Manufacturing Company Vertical via/contact
TW417293B (en) 1999-08-27 2001-01-01 Taiwan Semiconductor Mfg Formation of DRAM capacitor
KR100382729B1 (ko) 2000-12-09 2003-05-09 삼성전자주식회사 반도체 소자의 금속 컨택 구조체 및 그 형성방법
KR20050052630A (ko) 2003-11-28 2005-06-03 주식회사 하이닉스반도체 반도체 소자의 메탈 콘택 형성방법
KR20070008118A (ko) 2005-07-13 2007-01-17 매그나칩 반도체 유한회사 반도체소자의 금속 콘택 형성방법
KR100655082B1 (ko) * 2005-12-23 2006-12-08 삼성전자주식회사 상변화 메모리 소자 및 그 제조방법
KR100766504B1 (ko) * 2006-09-29 2007-10-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR20080060310A (ko) 2006-12-27 2008-07-02 주식회사 하이닉스반도체 반도체소자의 플러그 형성 방법
KR101226685B1 (ko) 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
KR20090080435A (ko) 2008-01-21 2009-07-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
JP5253875B2 (ja) * 2008-04-28 2013-07-31 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
KR20100006646A (ko) 2008-07-10 2010-01-21 삼성전자주식회사 텅스텐 재성장을 통한 금속 배선 패턴 및 그 배선 패턴형성 방법
KR101588852B1 (ko) * 2008-10-31 2016-01-26 삼성전자주식회사 반도체 소자 및 그 형성방법
US8541831B2 (en) * 2008-12-03 2013-09-24 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
KR20110001527A (ko) 2009-06-30 2011-01-06 주식회사 하이닉스반도체 수직채널형 비휘발성 메모리 소자의 제조 방법
JP2011035237A (ja) * 2009-08-04 2011-02-17 Toshiba Corp 半導体装置の製造方法及び半導体装置
KR101698193B1 (ko) * 2009-09-15 2017-01-19 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR101691092B1 (ko) 2010-08-26 2016-12-30 삼성전자주식회사 불휘발성 메모리 장치, 그것의 동작 방법, 그리고 그것을 포함하는 메모리 시스템
US8553466B2 (en) 2010-03-04 2013-10-08 Samsung Electronics Co., Ltd. Non-volatile memory device, erasing method thereof, and memory system including the same
US9536970B2 (en) 2010-03-26 2017-01-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
KR101682666B1 (ko) 2010-08-11 2016-12-07 삼성전자주식회사 비휘발성 메모리 장치, 그것의 채널 부스팅 방법, 그것의 프로그램 방법 및 그것을 포함하는 메모리 시스템
KR101843580B1 (ko) 2011-08-16 2018-03-30 에스케이하이닉스 주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법
KR102134912B1 (ko) * 2014-03-21 2020-07-20 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
KR20150139255A (ko) * 2014-06-03 2015-12-11 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
KR102307633B1 (ko) * 2014-12-10 2021-10-06 삼성전자주식회사 반도체 소자 및 그 제조 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5619071A (en) * 1994-10-17 1997-04-08 Intel Corporation Anchored via connection
US20070040188A1 (en) * 2005-08-19 2007-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Contact or via hole structure with enlarged bottom critical dimension
US7511349B2 (en) * 2005-08-19 2009-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Contact or via hole structure with enlarged bottom critical dimension
KR20110108220A (ko) * 2010-03-26 2011-10-05 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
KR20130116607A (ko) * 2012-04-16 2013-10-24 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR20150064520A (ko) * 2013-12-03 2015-06-11 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11683931B2 (en) 2019-08-26 2023-06-20 SK Hynix Inc. Semiconductor memory device and method of manufacturing the same
US11296021B2 (en) 2019-10-01 2022-04-05 SK Hynix Inc. Semiconductor memory device and manufacturing method thereof
KR20220025633A (ko) * 2020-08-24 2022-03-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 주입을 통한 콘택트 플러그의 저부 횡방향 확장

Also Published As

Publication number Publication date
KR102307633B1 (ko) 2021-10-06
US10103236B2 (en) 2018-10-16
US10608091B2 (en) 2020-03-31
US20190019872A1 (en) 2019-01-17
US9711603B2 (en) 2017-07-18
US20160172296A1 (en) 2016-06-16
US20170271463A1 (en) 2017-09-21

Similar Documents

Publication Publication Date Title
KR102307633B1 (ko) 반도체 소자 및 그 제조 방법
KR102357992B1 (ko) 반도체 장치
KR102321739B1 (ko) 반도체 장치 및 그 제조 방법
KR102248419B1 (ko) 반도체 소자 및 그 제조 방법
KR102636463B1 (ko) 반도체 메모리 장치
KR102400184B1 (ko) 3차원 반도체 메모리 장치 및 이의 제조 방법
US9379134B2 (en) Semiconductor memory devices having increased distance between gate electrodes and epitaxial patterns and methods of fabricating the same
KR101549858B1 (ko) 수직 채널 구조의 플래쉬 메모리 소자
KR102264675B1 (ko) 반도체 장치 및 그 형성방법
US20160148947A1 (en) Memory devices and methods of manufacturing the same
KR101660432B1 (ko) 수직 구조의 반도체 메모리 소자
KR20170139331A (ko) 반도체 장치 및 그 제조 방법
KR102408657B1 (ko) 반도체 장치 및 그 제조 방법
KR20110003764A (ko) 메탈 소스 라인을 갖는 수직 구조의 비휘발성 메모리 소자의 제조방법
KR20120002832A (ko) 반도체 메모리 소자 및 그의 형성방법
KR20160118114A (ko) 반도체 메모리 장치 및 이의 제조 방법
US9601496B2 (en) Semiconductor device having sacrificial layer pattern with concave sidewalls and method fabricating the same
US9780113B2 (en) Method for fabricating semiconductor device including a first ILD with sloped surface on a stacked structure and a second ILD on the first ILD
KR20090131172A (ko) 선택 트랜지스터 및 그의 제조 방법
KR20140020145A (ko) 불휘발성 메모리 소자의 제조방법
KR20130038032A (ko) 수직 구조의 비휘발성 메모리 소자
KR20210012336A (ko) 반도체 장치 및 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant