KR20110063798A - 플라즈마 에칭 방법 및 플라즈마 에칭 장치 - Google Patents

플라즈마 에칭 방법 및 플라즈마 에칭 장치 Download PDF

Info

Publication number
KR20110063798A
KR20110063798A KR1020117007419A KR20117007419A KR20110063798A KR 20110063798 A KR20110063798 A KR 20110063798A KR 1020117007419 A KR1020117007419 A KR 1020117007419A KR 20117007419 A KR20117007419 A KR 20117007419A KR 20110063798 A KR20110063798 A KR 20110063798A
Authority
KR
South Korea
Prior art keywords
gas
etching
flow rate
plasma
additive
Prior art date
Application number
KR1020117007419A
Other languages
English (en)
Other versions
KR101223819B1 (ko
Inventor
마사또 가와까미
스미에 나가세끼
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110063798A publication Critical patent/KR20110063798A/ko
Application granted granted Critical
Publication of KR101223819B1 publication Critical patent/KR101223819B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

퇴적성을 갖는 에칭 가스인 플루오로카본계 가스를 포함하는 처리 가스와, 첨가 가스로서의 SF6 가스를 처리실 내에 도입하는 동시에, 처리실 내에 플라즈마를 생성하고, 이 플라즈마에 의해, 기판 상에 형성된 실리콘 함유 산화막을 레지스트 패턴을 마스크로 하여 에칭을 행한다. 이때, 첨가 가스의 유량의 변화에 대한 에칭률 및 레지스트 선택비의 변화의 관계에 기초하여, 첨가 가스의 유량의 증대에 수반되는 에칭률 및 레지스트 선택비의 변화가 모두 상승 경향에 있는 첨가 가스의 유량 범위 내로, 첨가 가스의 유량을 설정한다.

Description

플라즈마 에칭 방법 및 플라즈마 에칭 장치{PLASMA ETCHING METHOD AND PLASMA ETCHING DEVICE}
본 발명은 플라즈마 에칭 방법 및 플라즈마 에칭 장치에 관한 것으로, 실리콘 함유 산화막의 플라즈마 에칭 프로세스, 특히 고(高)어스펙트비 콘택트(HARC) 에칭 프로세스에 적절하게 사용할 수 있는 플라즈마 에칭 방법 및 플라즈마 에칭 장치에 관한 것이다.
반도체 디바이스의 제조 프로세스에 있어서는, 예를 들어 반도체 웨이퍼(이하, 단순히「웨이퍼」라고도 칭함) 또는 FPD 기판 등의 기판 표면에 형성된 피에칭막 상에, 포토리소그래피 공정에 의해 포토레지스트 패턴을 형성하고, 이것을 마스크로 하여 피에칭막의 에칭을 행하도록 되어 있다. 이러한 에칭에는, 처리실 내에 배치된 기판 상에 처리 가스의 플라즈마를 형성하여, 플라즈마 중의 이온이나 라디칼 등의 활성종에 의해 에칭을 진행시키는 플라즈마 에칭 장치가 사용된다.
최근에는, 반도체 집적 회로의 고밀도화에 수반하여, 반도체 디바이스의 미세화도 진행되어, 에칭에 있어서도 미세 가공이 요구되고 있다. 또한, 고어스펙트비 콘택트(HARC) 에칭 프로세스에 있어서도, 산화막 등의 피에칭막에 형성되는 홀이나 트렌치에 의해 높은 어스펙트비가 요구되고 있다.
이러한 어스펙트비가 큰 홀이나 트렌치를 형성하는 경우에는, 처리 가스로서 퇴적성을 갖는 에칭 가스, 예를 들어 C4F8, C4F6, C5F8 등의 플루오로카본계 가스가 종래부터 사용되고 있다. 이러한 에칭 가스에 따르면, 다량의 활성종을 공급하면서, 에칭에 의한 부생물, 예를 들어 탄소계 폴리머 등의 퇴적물의 퇴적을 촉진시키면서 피에칭막의 에칭을 진행시킬 수 있다. 이에 의해, 에칭률을 높이고, 또한 레지스트 선택비를 향상시키는 것이 가능해진다.
그런데, 이러한 퇴적물(데포지션)의 막 두께에 따라서는 에칭이 스톱되어 버리므로, 이것을 피하기 위해 그 퇴적물의 막 두께를 조정하는 것이 필요해진다. 이러한 퇴적물의 막 두께의 미세 조정에는, 퇴적물의 제거 작용을 갖는 O2 가스가 종래부터 사용되고 있다(예를 들어, 일본 특허 출원 공개 제2003-264178호 공보를 참조). 구체적으로는, 퇴적성 에칭 가스에 O2 가스를 첨가하여 플라즈마를 생성함으로써, 과잉의 퇴적물을 제거하여 그 막 두께를 조정하면서, 에칭을 촉진할 수 있다.
금후, 반도체 디바이스의 미세화의 요청은 더욱 가속되어, 산화막에 형성되는 홀이나 트렌치의 어스펙트비도 더욱 커지고 있고, 에칭률도 더욱 높은 것이 요구된다.
상술한 바와 같이, 종래와 같이 퇴적성 에칭 가스에 O2 가스를 첨가하여 플라즈마 에칭을 행하는 경우, 그 O2 가스의 유량비를 증가시킴으로써 에칭률을 더 향상시킬 수 있다. 그런데, O2 가스의 유량비를 더 증가시켜 가면, 피에칭막의 에칭률이 어느 값을 초과하면 거의 상승하지 않게 되는 경향이 있다. 이에 대해, O2 가스의 유량비를 증가시킬수록 포토레지스트 패턴 상의 에칭률은 증가하는 경향에 있다. 이로 인해, O2 가스의 유량비를 증가시켜도 에칭률이 어느 값을 초과하면, 피에칭막의 에칭률이 상승하지 않게 될 뿐만 아니라, 레지스트 선택비도 저하되어 버린다. 이로 인해, O2 가스의 유량비를 증가시키는 것만으로는, 에칭률과 레지스트 선택비의 양쪽을 종래 이상으로 높이는 데는 한계가 있다.
따라서 본 발명자들은, 퇴적성을 갖는 에칭 가스를 사용하여 고어스펙트비 에칭을 행할 때에, 피처리 기판 상에 퇴적되는 퇴적물을 제어하는 가스로서, 종래부터 사용되고 있던 O2 가스를 대신하는 가스로서, 종래는 다른 용도로 사용되고 있던 SF6 가스에 착안하였다.
SF6 가스는 F(불소 원자)의 비율이 매우 높기 때문에, 종래는 오로지 그 점이 착안되어, 예를 들어 포토레지스트의 거칠기를 억제하거나, 처리실 내의 퇴적물을 클리닝하기 위한 것 등에 사용되어 왔다(예를 들어, 일본 특허 출원 공개 제2005-72518호 공보, 일본 특허 출원 공개 제2006-32721호 공보를 참조). 또한, 일반적으로 플라즈마 에칭에 있어서는, F가 많을수록(불소 리치), 에칭률을 높게 할 수 있는 반면, 레지스트 선택비가 저하되는 경향이 있는 것이 알려져 있으므로, 종래부터 SF6 가스와 같이 F의 비율이 많은 가스는, 높은 선택비가 요구되는 에칭 프로세스에는 첨가 가스로서는 사용하기 어렵다고 생각되고 있었다.
그런데, 본 발명자들은 실험을 거듭한 바, 퇴적성을 갖는 에칭 가스인 플루오로카본계 가스에 적정 유량으로 조절된 SF6 가스를 첨가함으로써, O2 가스를 첨가 가스로서 사용한 경우에 비해 에칭률을 대폭 향상시킬 수 있고, 그 뿐만 아니라, 레지스트 선택비도 아울러 향상시킬 수 있는 것을 발견하였다.
본 발명은 상기한 지식에 기초하는 것으로, 고어스펙트비 에칭을 행할 때에, 에칭률과 레지스트 선택비의 양쪽을 종래 이상으로 향상시킬 수 있는 플라즈마 에칭 방법을 제공하는 것이다.
본 발명의 제1 관점에 따르면, 실리콘 함유 산화막이 형성된 기판을 처리실 내에 배치하는 것과, 퇴적성을 갖는 에칭 가스인 플루오로카본계 가스를 포함하는 처리 가스와, 첨가 가스로서의 SF6 가스를 상기 처리실 내에 도입하는 동시에, 상기 처리실 내에 플라즈마를 생성하고, 레지스트 패턴을 마스크로서 사용하여 상기 플라즈마에 의해 상기 실리콘 함유 산화막의 에칭을 행하는 것을 포함하고, 상기 첨가 가스의 유량의 변화에 대한 에칭률 및 레지스트 선택비의 변화의 관계에 기초하여, 상기 첨가 가스의 유량의 증대에 수반되는 상기 에칭률 및 상기 레지스트 선택비의 변화가 모두 상승 경향에 있는 상기 첨가 가스의 유량 범위 내로, 상기 첨가 가스의 유량을 설정하는 것을 특징으로 하는 플라즈마 에칭 방법이 제공된다.
본 발명의 제2 관점에 따르면, 처리실 내에 소정의 가스의 플라즈마를 생성함으로써, 기판 상에 형성된 실리콘 함유 산화막에 대해 레지스트 패턴을 마스크로 하여 에칭을 행하는 플라즈마 에칭 장치이며, 상기 처리실 내에 플루오로카본계 가스를 포함하는 처리 가스를 공급하는 처리 가스 공급계와, 상기 처리실 내에 SF6 가스를 첨가 가스로서 공급하는 첨가 가스 공급계와, 적어도 상기 처리 가스 공급계 및 상기 첨가 가스 공급계를 제어하는 제어부를 구비하고, 상기 제어부는, 퇴적성을 갖는 에칭 가스인 플루오로카본계 가스를 포함하는 처리 가스와, 첨가 가스로서의 SF6 가스를 상기 처리실 내에 도입하는 동시에, 상기 처리실 내에 플라즈마를 생성하고, 상기 레지스트 패턴을 마스크로서 사용하여 상기 플라즈마에 의해 상기 실리콘 함유 산화막의 에칭을 행하는 데 있어서, 상기 처리 가스의 유량 및 상기 첨가 가스의 유량을 각각 소정값으로 제어하도록 구성되고, 상기 첨가 가스의 소정값은, 상기 첨가 가스의 유량의 변화에 대한 에칭률 및 레지스트 선택비의 변화의 관계에 기초하여, 상기 첨가 가스의 유량의 증대에 수반되는 상기 에칭률 및 상기 레지스트 선택비의 변화가 모두 상승 경향에 있는 상기 첨가 가스의 유량 범위 내로 설정된 것인 것을 특징으로 하는 플라즈마 에칭 장치가 제공된다.
퇴적성을 갖는 에칭 가스인 플루오로카본계 가스를 포함하는 처리 가스에 SF6 가스를 첨가 가스로서 첨가하여, 이들 플라즈마를 형성하여 기판 상의 피에칭막의 에칭을 행한다. 이와 같이 퇴적성을 갖는 에칭 가스를 사용함으로써, 에칭 부생물인 퇴적물이 피처리 기판 상에 퇴적되면서 에칭이 진행된다.
이때, 첨가 가스에 SF6 가스를 사용함으로써, 그 유량에 따라서, 주로 F(불소 원자)의 작용에 의해 퇴적물의 막 두께를 효과적으로 제어할 수 있으므로, O2 가스의 경우보다도 에칭률을 높일 수 있다. 또한, 주로 S(유황 원자)의 작용에 의해 퇴적물의 경도도 효과적으로 제어할 수 있으므로, O2 가스를 사용한 경우보다도 레지스트 선택비를 보다 높게 할 수 있다. 이에 의해, 에칭률과 레지스트 선택비의 양쪽을 종래 이상으로 향상시킬 수 있어, 고어스펙트비의 홀이나 트렌치를 종래 이상으로 효율적으로 형성할 수 있다.
또한, 상기 첨가 가스의 유량은, 첨가 가스의 유량의 변화에 대한 에칭률 및 레지스트 선택비의 변화의 관계에 기초하여 결정할 수 있고, 구체적으로는 첨가 가스의 유량의 증대에 수반되는 에칭률 및 레지스트 선택비의 변화가 모두 상승 경향에 있는 첨가 가스의 유량 범위 내로, 첨가 가스의 유량을 설정하는 것이 바람직하다. 상기한 관계는, 예를 들어 실험에 의해 미리 구해 둘 수 있다. 이것에 따르면, 첨가 가스의 유량의 적합한 범위를 용이하게 발견할 수 있다. 이러한 첨가 가스의 유량의 적합한 범위는, 처리 가스, 특히 플루오로카본계 가스의 종류 등에 따라 다르지만, 실용적으로는 플루오로카본계 가스의 유량의 70% 이하의 범위에서 첨가 가스 유량을 설정하는 것이 바람직하다.
또한, 상기한 첨가 가스의 유량의 변화에 대한 에칭률 및 레지스트 선택비의 변화의 관계에 있어서, 첨가 가스의 유량의 증대에 수반되는 레지스트 선택비의 변화가 상승 경향으로부터 하강 경향으로 변화되는 변화점에 대응하는 값, 즉 상기 유량 범위 내에 있어서의 최대값으로, 상기 첨가 가스의 유량을 설정하는 것도 바람직하다. 이에 의해, 에칭률과 레지스트 선택비의 양쪽이 가장 높아지는 최적의 유량을 설정할 수 있다.
또한, 상기 첨가 가스로서, 상기 SF6 가스에 O2 가스를 더 첨가하도록 해도 좋다. 이것에 따르면, O2 가스의 유량에 따라 퇴적물의 막 두께의 미세 조정을 용이하게 할 수 있다. 즉, O2 가스의 쪽이 SF6 가스보다도 퇴적물을 제거하는 능력이 낮으므로, O2 가스를 포함한 쪽이 퇴적물의 막 두께의 미세 조정이 용이해진다.
또한, 상기 에칭 가스로서 사용하는 플루오로카본계 원료가 상온에서 액체인 경우에는, 그 액체 원료를 기화기에 의해 기화시킨 후 상기 처리실 내에 공급하도록 해도 좋다. 플루오로카본계 가스로서는 F/C비가 작을수록 퇴적성이 높아지므로, 고어스펙트비 에칭에 적합하지만, F/C비가 작으면 상온에서는 액체인 것도 많다. 이러한 플루오로카본계 원료도 기화기를 사용하여 기화시킴으로써, 에칭 가스로서 사용할 수 있게 된다. 또한, 이와 같이 퇴적물이 많아지는 플루오로카본계 가스일수록, SF6 가스를 첨가하였을 때의 효과가 커진다.
또한, 본 명세서 중 1mTorr는 (10-3×101325/760)㎩, 1sccm은 (10-6/60)㎥/sec로 한다.
도 1은 본 발명의 실시 형태에 관한 플라즈마 에칭 장치의 개략 구성을 도시하는 단면도이다.
도 2는 본 발명의 실시 형태에 있어서의 플루오로카본계 가스의 F/C비가 에칭에 부여하는 영향을 나타내는 도면이다.
도 3은 첨가 가스로서 SF6 가스를 사용하여 실리콘 산화막의 플라즈마 에칭을 행한 경우의 SF6 가스의 유량과 에칭률의 관계를 그래프로 한 도면이다.
도 4는 첨가 가스로서 SF6 가스를 사용하여 포토레지스트막의 플라즈마 에칭을 행한 경우의 SF6 가스의 유량과 에칭률의 관계를 그래프로 한 도면이다.
도 5는 첨가 가스로서 O2 가스를 사용하여 실리콘 산화막의 플라즈마 에칭을 행한 경우의 O2 가스의 유량과 에칭률의 관계를 그래프로 한 도면이다.
도 6은 첨가 가스로서 O2 가스를 사용하여 포토레지스트막의 플라즈마 에칭을 행한 경우의 O2 가스의 유량과 에칭률의 관계를 그래프로 한 도면이다.
도 7은 첨가 가스로서 O2 가스, SF6 가스를 사용한 경우의 에칭 특성을 비교하기 위한 도면이며, 실리콘 산화막의 에칭률과 레지스트 선택비의 관계를 그래프로 한 도면이다.
이하에 첨부 도면을 참조하면서, 본 발명의 적합한 실시 형태에 대해 상세하게 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 구성을 갖는 구성 요소에 대해서는, 동일한 번호를 부여함으로써 중복 설명을 생략한다.
(플라즈마 에칭 장치의 구성예)
우선, 본 발명의 실시 형태에 관한 플라즈마 에칭 장치의 구성예에 대해 설명한다. 도 1은 본 실시 형태에 관한 플라즈마 에칭 장치(100)의 개략 구성을 도시하는 단면도이다. 여기서는, 고어스펙트비 에칭의 프로세스를 실행 가능한 평행 평판형 전극 구조의 용량 결합형의 플라즈마 에칭 장치를 예로 들어 설명한다.
도 1에 도시하는 바와 같이, 플라즈마 에칭 장치(100)는, 대략 원통 형상의 처리 용기에 의해 구성되는 처리실(102)을 구비한다. 처리실(102)은, 예를 들어 알루미늄 합금에 의해 형성되고, 그 내벽면은 예를 들어 알루미나막 등에 의해 피복되어 있다. 처리실(102)은 접지되어 있다.
처리실(102)의 저부에는 하부 전극(110)이 설치되어 있다. 하부 전극(110)은, 처리실(102)의 저부에 세라믹스 등으로 이루어지는 절연판(112)을 개재하여 배치된 원기둥 형상의 서셉터 지지대(114)와, 이 서셉터 지지대(114) 상에 설치된 서셉터(116)를 구비한다. 서셉터(116)는 하부 전극의 본체를 구성하고, 그 위에는 웨이퍼(W)가 적재된다. 이 점에서, 하부 전극(110)은 웨이퍼(W)를 적재하는 적재대로서도 기능한다.
서셉터(116)의 상면에는, 웨이퍼(W)를 정전력으로 흡착 유지하는 정전 척(120)이 설치되어 있다. 정전 척(120)은, 도전막으로 이루어지는 전극(122)을 한 쌍의 절연층 또는 절연 시트 사이에 끼워 구성되고, 전극(122)에는 직류 전원(124)이 전기적으로 접속되어 있다. 직류 전원(124)으로부터 전극(122)에 직류 전압을 인가하면, 정전 척(120)의 상면에 쿨롱력 등의 정전력이 발생하고, 이에 의해 웨이퍼(W)가 흡착 유지된다.
서셉터(116)의 상면에는 정전 척(120)과 웨이퍼(W)의 주위를 둘러싸도록, 에칭의 균일성을 향상시키기 위한 포커스 링(보정 링)(126)이 배치되어 있다. 포커스 링(126)은, 도전성 부재(예를 들어, 실리콘)로 구성된다.
서셉터 지지대(114) 내에는, 예를 들어 원주상에 냉매실(128)이 설치되어 있다. 냉매실(128)에는, 외부에 설치된 도시하지 않은 칠러 유닛으로부터의 냉매(예를 들어, 냉각수)가 순환 공급되도록 되어 있다. 이 냉매의 온도에 따라 서셉터(116) 상의 웨이퍼(W)의 처리 온도를 제어할 수 있다.
서셉터 지지대(114) 내에는, 도시하지 않은 전열 가스 공급 기구로부터의 전열 가스(예를 들어, He 가스)가 전열 가스 공급 라인(129)을 통해 정전 척(120)의 상면과 웨이퍼(W)의 이면 사이에 공급된다.
또한, 하부 전극(110)은, 도 1에 도시하는 구성에 한정되는 것은 아니며, 예를 들어 절연판(112)과 처리실(102)의 저면 사이에 알루미늄제의 벨로우즈를 개재시켜, 승강 기구(도시하지 않음)를 사용하여 하부 전극(110)이 승강 가능하게 구성해도 된다. 이것에 따르면 하부 전극(110)과 상부 전극(140)의 간격을 조정할 수 있다.
하부 전극(110)의 상방에는, 하부 전극(110)과 대향하도록 평행하게 상부 전극(140)이 설치되어 있다. 이 상부 전극(140)과 하부 전극(110) 사이에 형성되는 공간이 플라즈마 생성 공간으로 된다. 상부 전극(140)은, 절연성 차폐 부재(142)를 통해, 처리실(102)의 천장부에 지지되어 있다.
상부 전극(140)은, 주로 전극판(143)과 이것을 착탈 가능하게 지지하는 전극 지지체(144)에 의해 구성된다. 전극 지지체(144)에는 에칭에 필요한 가스(후술하는 처리 가스 및 첨가 가스)를 처리실(102) 내에 도입하기 위한 가스 도입구(145)가 설치되어 있다.
가스 도입구(145)에는, 퇴적성을 갖는 에칭 가스를 포함하는 처리 가스를 공급하는 처리 가스 공급계로서, 처리 가스 공급원(170)이 처리 가스 공급관(172)을 통해 접속되어 있다. 또한, 가스 도입구(145)에는, 에칭 부생성물인 퇴적물(데포지션)을 제어하는 첨가 가스를 공급하는 첨가 가스 공급계로서 첨가 가스 공급원(180)이 첨가 가스 공급관(182)을 통해 접속되어 있다.
구체적으로는, 이들 처리 가스 공급관(172)과 첨가 가스 공급관(182)은 각각 가스 공급관(146)을 통해 가스 도입구(145)에 접속되어 있다. 이에 의해, 처리 가스 공급관(172)으로부터의 처리 가스와 첨가 가스 공급관(182)으로부터의 첨가 가스는 가스 공급관(146)에서 합류하여 가스 도입구(145)로부터 공급된다.
처리 가스 공급관(172, 182)에는 각각, 개폐 밸브(174, 184), 가스의 유량을 제어하는 유량 조정기로서의 매스 플로우 컨트롤러(176, 186)가 설치되어 있다. 또한, 이들 처리 가스와 첨가 가스의 구체예에 대해서는 후술한다.
전극 지지체(144)에는, 예를 들어 대략 원통 형상의 가스 확산실(148)이 설치되어, 가스 공급관(146)으로부터 도입된 가스를 균등하게 확산시킬 수 있다. 전극 지지체(144)의 저부와 전극판(143)에는, 가스 확산실(148)로부터의 가스를 처리실(102) 내에 토출시키는 다수의 가스 토출 구멍(149)이 형성되어 있다. 가스 확산실(148)에서 확산된 가스를 다수의 가스 토출 구멍(149)으로부터 균등하게 플라즈마 생성 공간을 향해 토출할 수 있도록 되어 있다. 이 점에서, 상부 전극(140)은 처리실(102) 내에 가스를 공급하기 위한 샤워 헤드로서도 기능한다.
또한, 도 1에는 처리 가스 공급계와 첨가 가스 공급계로 크게 나눈 경우를 예로 들고 있지만, 이것에 한정되는 것은 아니다. 예를 들어, 처리 가스로서 복수 종류의 가스를 공급하는 경우에는, 처리 가스 공급계를 복수 계통으로 구성해도 좋다. 마찬가지로 첨가 가스로서 복수 종류의 가스를 공급하는 경우에는, 첨가 가스 공급계를 복수 계통으로 구성해도 좋다. 또한, 처리 가스와 첨가 가스의 구체예에 대해서는 후술한다.
또한, 본 실시 형태에 관한 상부 전극(140)은, 처리 가스와 첨가 가스를 미리 혼합하여 처리실(102) 내에 공급하는 이른바 프리 믹스 타입으로 구성한 경우를 예로 들고 있지만, 상부 전극(140)을, 각 가스를 독립하여 처리실(102) 내에 공급하는 포스트 믹스 타입으로 구성해도 좋다.
본 실시 형태에서는, 상부 전극(140)의 전극 지지체(144)는 도전성 재료(예를 들어, 표면이 양극 산화 처리된 알루미늄)로 구성되어 있고, 도시하지 않은 수냉 구조를 갖는다. 전극판(143)은, 줄열이 적은 저저항의 도전체 또는 반도체, 예를 들어 실리콘 함유 재료로 구성하는 것이 바람직하다. 이러한 재료로서는, 예를 들어 실리콘이나 SiC를 들 수 있다.
상부 전극(140)에는, 정합기(152)를 통해 제1 고주파 전원(상부 고주파 전원)(150)이 전기적으로 접속되어 있다. 제1 고주파 전원(150)은, 13.56㎒ 이상의 주파수, 예를 들어 60㎒의 고주파 전력(상부 고주파 전력)을 출력한다. 제1 고주파 전원(150)의 고주파 전력의 크기는 가변할 수 있도록 되어 있다.
정합기(152)는, 제1 고주파 전원(150)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시키는 것으로, 처리실(102) 내에 플라즈마가 생성되어 있을 때에 제1 고주파 전원(150)의 출력 임피던스와 부하 임피던스가 외관상 일치하도록 기능한다.
하부 전극(110)의 서셉터(116)에는, 정합기(162)를 통해 제2 고주파 전원(하부 고주파 전원)(160)이 전기적으로 접속되어 있다. 이 제2 고주파 전원(160)으로부터 서셉터(116)에 고주파 전력이 공급됨으로써, 웨이퍼(W)측으로 이온이 끌어 들여진다. 제2 고주파 전원(160)은, 300㎑ 내지 13.56㎒의 범위 내의 주파수, 예를 들어 2㎒의 고주파 전력(하부 고주파 전력)을 출력한다. 제2 고주파 전원(160)의 고주파 전력의 크기는 가변할 수 있도록 되어 있다.
정합기(162)는 제2 고주파 전원(160)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시키기 위한 것으로, 처리실(102) 내에 플라즈마가 생성되어 있을 때에 제2 고주파 전원(160)의 내부 임피던스와 부하 임피던스가 외관상 일치하도록 기능한다.
상부 전극(140)에는, 제1 고주파 전원(150)으로부터의 고주파는 통과시키지 않고 제2 고주파 전원(160)으로부터의 고주파를 그라운드로 통과시키기 위한 로우 패스 필터(LPF)(154)가 전기적으로 접속되어 있다. 이 로우 패스 필터(LPF)(154)는, 적합하게는 LR 필터 또는 LC 필터로 구성되지만, 1개의 도선만으로도 제1 고주파 전원(150)으로부터의 고주파에 대해서는 충분히 큰 리액턴스를 부여할 수 있으므로, 그것으로 구성할 수도 있다. 한편, 하부 전극(110)의 서셉터(116)에는, 제1 고주파 전원(150)으로부터의 고주파를 그라운드에 통과시키기 위한 하이 패스 필터(HPF)(164)가 전기적으로 접속되어 있다.
처리실(102)의 저부에는 배기구(104)가 형성되어 있고, 배기구(104)에는 진공 펌프 등으로 구성된 배기 장치(190)가 접속되어 있다. 배기 장치(190)에 의해 처리실(102) 내를 배기함으로써 처리실(102) 내를 소정의 진공 압력으로 감압할 수 있다.
또한, 처리실(102)의 측벽에는 웨이퍼(W)의 반입출구(106)가 설치되어 있고, 이 반입출구(106)는 게이트 밸브(108)에 의해 개폐 가능하게 되어 있다. 또한, 처리실(102)의 내벽에는, 처리실(102)에 에칭 부생물(데포지션)이 부착되는 것을 방지하는 데포지션 실드(deposition shield)(도시하지 않음)를 착탈 가능하게 설치하도록 해도 좋다.
플라즈마 에칭 장치(100)의 각 구성부는, 제어부(전체 제어 장치)(200)에 접속되어 제어되는 구성으로 되어 있다. 또한, 제어부(200)에는, 공정 관리자 등이 플라즈마 에칭 장치(100)를 관리하기 위해 커맨드의 입력 조작 등을 행하는 키보드나, 플라즈마 에칭 장치(100)의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 이루어지는 조작부(210)가 접속되어 있다.
또한, 제어부(200)에는 플라즈마 에칭 장치(100)에서 실행되는 각종 처리를 제어부(200)의 제어에 의해 실현하기 위한 프로그램이나 프로그램을 실행하기 위해 필요한 레시피 데이터 등이 기억된 기억부(220)가 접속되어 있다.
기억부(220)에는, 예를 들어 웨이퍼의 플라즈마 에칭, 애싱 등의 프로세스 처리를 실행하기 위한 프로세스 레시피, 처리실 내의 클리닝을 실행하기 위한 클리닝 레시피 등 필요한 처리를 행하기 위한 레시피 등이 기억되어 있다. 이들 레시피는, 플라즈마 에칭 장치(100)의 각 부를 제어하는 제어 파라미터, 설정 파라미터 등의 복수의 파라미터값을 정리한 것이다. 예를 들어, 프로세스 레시피는, 예를 들어 처리 가스, 첨가 가스의 유량비, 처리실 내 압력, 상부 고주파 전력, 하부 고주파 전력, 상부 전극 온도, 하부 전극 온도 등의 파라미터값을 갖는다.
또한, 이들 레시피는 하드디스크나 반도체 메모리에 기억되어 있어도 되고, 또한 CD-ROM, DVD 등의 가반성 컴퓨터에 의해 판독 가능한 기억 매체에 수용된 상태에서 기억부(220)의 소정 위치에 세트하도록 되어 있어도 된다.
제어부(200)는 조작부(210)로부터의 지시 등에 기초하여 원하는 프로세스 레시피를 기억부(220)로부터 판독하여 각 부를 제어함으로써, 플라즈마 에칭 장치(100)에서의 원하는 처리를 실행한다. 또한, 조작부(210)로부터의 조작에 의해 레시피를 편집할 수 있도록 되어 있다.
(플라즈마 에칭 방법)
다음에, 이러한 플라즈마 에칭 장치에서 실시되는, 본 발명의 실시 형태에 관한 플라즈마 에칭 방법에 대해 설명한다. 본 실시 형태에서는, 예를 들어 실리콘 기재 상의 피에칭막(예를 들어, 실리콘 산화막) 상에 포토레지스트 패턴이 형성된 웨이퍼(W)를 사용한다. 포토레지스트 패턴에는, 홀이나 트렌치의 패턴이 형성되어 있고, 이 포토레지스트 패턴을 마스크로 하여 피에칭막을 에칭한다. 피에칭막으로서는, 실리콘 산화막 외에, 실리콘 질화막, 실리콘 탄화막, 폴리실리콘막, 층간 저유전율막 등이라도 좋다.
이러한 웨이퍼(W)에 대해 플라즈마 에칭 장치(100)를 사용하여 플라즈마 에칭을 행하는 경우에는, 우선 게이트 밸브(108)를 개방하여 웨이퍼(W)를 반입하여 하부 전극(110) 상에 적재하고, 웨이퍼(W)를 정전 척(120)에 의해 흡착 유지시켜, 게이트 밸브(108)를 폐쇄한다.
처리실(102) 내를 배기 장치(190)에 의해 배기하여 소정의 진공 압력으로 감압하면서, 처리실(102) 내에 처리 가스 공급원(170)으로부터의 처리 가스와 첨가 가스 공급원(180)으로부터의 첨가 가스를 각각 소정의 유량으로 도입한다. 이때, 웨이퍼(W)를 효율적으로 냉각하기 위해, 전열 가스 공급 라인(129)을 통해 전열 가스(예를 들어, He 가스)를 웨이퍼(W)의 이면에 공급하여, 상부 전극(140), 하부 전극(110) 및 처리실(102)의 측벽을 소정의 온도로 조정한다.
그리고 상부 전극(140)에 제1 고주파 전원(150)으로부터 소정의 상부 고주파 전력(60㎒)을 인가하는 동시에, 하부 전극(110)에는 소정의 하부 고주파 전력(2㎒)을 공급한다. 이에 의해, 웨이퍼(W) 상의 플라즈마 생성 공간에 처리 가스 및 첨가 가스의 플라즈마가 형성되고, 웨이퍼(W) 상의 피에칭막에 대해 플라즈마 에칭이 행해진다.
이때의 에칭 조건으로서는, 예를 들어 상부 고주파 전력이 500W 내지 3500W 정도, 하부 고주파 전력이 100W 내지 2500W 정도, 처리실(102) 내의 압력은 15mTorr 정도, 웨이퍼(W)의 온도는 -20℃ 내지 100℃ 정도가 바람직하다.
또한, 본 실시 형태와 같이 고어스펙트비 콘택트(HARC)를 형성하는 경우에는, 처리 가스로서 퇴적성을 갖는 에칭 가스를 사용하는 것이 바람직하다. 이러한 에칭 가스로서, 예를 들어 C4F8, C4F6, C5F8 등의 플루오로카본계 가스를 사용한다. 이러한 가스에서는, CF계 라디칼(CF*, CF2 *, CF3 *) 등의 다량의 활성종을 공급하면서, 웨이퍼(W) 상에 에칭 부생물로서, 예를 들어 플루오로카본계 폴리머(CF계 폴리머)로 이루어지는 퇴적물(데포지션)의 퇴적을 촉진하면서 피에칭막의 에칭을 진행시킬 수 있다. 이에 의해, 에칭률을 높이고, 또한 레지스트 선택비를 향상시키는 것이 가능해진다.
그런데, 이러한 웨이퍼(W) 상에 퇴적되는 퇴적물의 막 두께에 따라서는 에칭이 스톱되어 버리므로, 이것을 피하기 위해 그 퇴적물의 막 두께를 조정하는 것이 필요해진다. 예를 들어, 플루오로카본계 가스는, C(탄소 원자)와 F(불소 원자)를 포함하는 CxFy 가스이다. 이러한 플루오로카본계 가스에서는, C가 적고 F가 많아질수록(F 리치) 에칭률이 높아지고, C가 많고 F가 적어질수록(C 리치) 웨이퍼(W)에 CF계 폴리머의 퇴적물이 퇴적되기 쉬워진다. 이와 같이, C와 F의 비(F/C비)에 따라 퇴적물의 양이 변화되므로, 이 F/C비에 따라서는 에칭이 진행되기 쉽거나, 에칭 스톱되기 쉬운 경향이 있다.
여기서, 플루오로카본계 가스의 F/C비가 에칭에 부여하는 영향을 도 2를 참조하면서 설명한다. 도 2는, F/C비와 웨이퍼(W)에 발생하는 자기 바이어스 전압이 에칭에 부여하는 영향을 나타내는 도면이다. 도 2에 나타내는 바와 같이, F/C비가 작은 가스일수록 퇴적물이 많아져 에칭률이 낮아진다. 이에 대해 F/C비가 큰 가스일수록 퇴적물이 적어져 에칭률이 높아진다. 퇴적물이 지나치게 많아지면 에칭 스톱이 발생하여, 에칭이 진행되지 않게 된다. 도 2에서는 에칭 스톱이 발생하는 경계를 점선으로 나타낸다.
이것에 따르면, 예를 들어 CF4(F/C비 4) 등은, C에 비해 F의 비율이 높기 때문에, CF4의 단일 가스라도 높은 에칭률로 에칭을 진행시킬 수 있다. 그런데, 퇴적물이 극히 적으므로, 고어스펙트비 에칭에는 적합하지 않다. 이에 대해, C4F6(F/C비 1.5), C5F8(F/C비 1.6) 등은 퇴적물이 많으므로, 고어스펙트비 에칭에는 적합하다. 따라서, 본 실시 형태에서는 이러한 F/C비가 3 이하인 플루오로카본계 가스를 에칭 가스로서 사용하는 것이 바람직하다.
이러한 플루오로카본계 가스는 F/C비가 작으므로, 높은 에칭률로 에칭을 진행시킬 수 없다. 따라서, 이러한 퇴적성을 갖는 에칭 가스에 O2 가스나 SF6 가스를 첨가 가스로서 첨가함으로써, 그 에칭 가스의 특성을 도 2의 화살표 방향으로 이행시킬 수 있으므로, 에칭률을 높일 수 있다.
이러한 첨가 가스의 작용은 이하와 같다. 종래의 O2 가스를 첨가 가스로서 첨가한 경우에는, 예를 들어 O2+C→CO2 등의 화학 반응이 진행되어 C가 감소하고, 상대적으로 F를 많게 할 수 있으므로 F/C비가 커지는 방향으로 이행시킬 수 있다. 또한, O2의 퇴적물 제거 작용에 의해 퇴적물의 막 두께를 감소시킬 수 있으므로, 에칭률을 높일 수 있다.
그런데, O2 가스를 지나치게 첨가하면, 퇴적물의 막 두께가 지나치게 얇아지고, C의 감소량도 증가한다. C는 피에칭막인 산화막의 O를 감소시키므로, C의 감소가 크면 에칭이 진행되지 않게 된다. 따라서, O2 가스를 증가시켜 가도, 피에칭막의 에칭률이 어느 점을 초과하면, 거의 상승하지 않게 되는 경향이 있다.
이에 대해, SF6 가스를 첨가 가스로서 첨가한 경우에는, F가 증가하기 때문에, F/C비가 커지는 방향으로 이행시킬 수 있다. 또한, SF6 가스는 F의 비율이 높기 때문에, F를 C에 대해 대폭 증가시킬 수 있고, 또한 퇴적물 제거 작용도 O2보다도 크다. 이로 인해, 에칭률을 O2 가스의 경우에 비해 대폭 높일 수 있다. 또한, SF6 가스의 경우에는 O2 가스의 경우보다도 C의 감소를 억제할 수 있으므로, SF6 가스의 유량비를 증가시켜 가면, O2 가스의 경우보다도 더욱 높은 레벨까지 에칭률의 상승 경향이 계속되어 간다.
그런데, 이 SF6 가스는, F(불소 원자)의 비율이 매우 높기 때문에, 종래는 오로지 그 점이 착안되어, 예를 들어 포토레지스트의 거칠기를 억제하거나, 처리실 내의 퇴적물을 클리닝하기 위한 것 등에 사용되어 왔다. 또한, 플라즈마 에칭에 있어서 F(불소 원자)가 많을수록(불소 리치), 에칭률을 높게 할 수 있는 반면, 레지스트 선택비가 저하되는 경향이 있는 것이 알려져 있으므로, 종래부터 SF6 가스와 같이 F의 비율이 많은 가스는, 높은 선택비가 요구되는 에칭 프로세스에는 첨가 가스로서는 사용하기 어렵다고 생각되고 있었다.
그런데, 본 발명자들은 실험을 거듭한 바, 플루오로카본계 가스에 적정 유량으로 조정된 SF6 가스를 첨가하면, O2 가스를 첨가 가스로서 사용한 경우에 비해 에칭률을 대폭 향상시킬 수 있고, 그 뿐만 아니라, 레지스트 선택비도 아울러 향상시킬 수 있는 것을 발견하였다.
따라서, 본 실시 형태에서는, 처리 가스로서 퇴적성의 에칭 가스로서, C4F8, C4F6, C5F8 등의 F/C비가 3 이하인 플루오로카본계 가스를 사용하는 동시에, 첨가 가스로서 SF6을 사용한다. 또한, 처리 가스에는, Ar 가스 등의 희가스를 첨가하도록 해도 좋다. 처리 가스에 Ar 가스를 첨가함으로써, 플라즈마 중의 전자와 이온을 증가시킬 수 있으므로, 플라즈마 밀도를 증가시킬 수 있다.
또한, 플루오로카본계 가스 중 F/C비가 낮은 것에는, 예를 들어 C6F6과 같이 상온에서 액체인 것도 있다. 이러한 경우에는, 도 1에 도시하는 처리 가스 공급원(170)을, 예를 들어 액체 원료 공급원과 기화기에 의해 구성하고, 액체 원료 공급원으로부터 공급되는 C6F6 등의 액체 원료를 기화기에 의해 기화시킨 후 처리실(102)에 도입하도록 하는 것이 바람직하다.
(첨가 가스의 효과를 검증하는 실험)
여기서, 플루오로카본계 가스에 에칭 가스로 SF6 가스를 첨가 가스로서 첨가한 경우의 효과를 검증하는 실험을 행한 결과에 대해 도면을 참조하면서 설명한다. 우선, 처리 가스로서 C4F6 가스와 Ar 가스를 사용하는 동시에 첨가 가스로서 SF6 가스를 사용하여 플라즈마 에칭을 행한 경우의 실험 결과를 도 3, 도 4에 나타낸다.
또한, 비교예로서 SF6 가스 대신에 O2 가스를 첨가 가스로서 사용하여 동일한 플라즈마 에칭을 행한 경우의 실험 결과를 도 5, 도 6에 나타낸다. 도 7은, 도 3 내지 도 6의 결과에 기초하여, 첨가 가스로서 SF6 가스를 사용한 경우(백색 원)와, O2 가스를 사용한 경우(흑색 원)의 경우에 있어서의 첨가 가스의 유량에 대한 에칭 특성, 즉 실리콘 산화막의 에칭률과 레지스트 선택비(실리콘 산화막의 에칭률/포토레지스트막의 에칭률)의 관계를 그래프로 정리한 것이다.
도 3은 웨이퍼(W) 상에 형성된 실리콘 산화막을 에칭하였을 때의 SF6 가스의 유량비와 에칭률의 관계를 그래프로 한 것이다. 도 3의 실험에서는, C4F6 가스와 Ar 가스의 유량을 각각 22sccm, 300sccm으로 고정하고, SF6 가스의 유량을 8sccm, 10sccm, 11sccm, 12sccm, 15sccm, 20sccm, 25sccm으로 바꾸어 플라즈마 에칭을 행하여 각각의 에칭률의 웨이퍼 면내 분포를 측정하고 그 평균을 취하여 그래프에 플롯하였다.
도 4는, 웨이퍼(W) 상에 형성된 포토레지스트막을 에칭하였을 때의 SF6 가스의 유량비와 에칭률의 관계를 그래프로 한 것이다. 도 4의 실험에서는 C4F6 가스와 Ar 가스의 유량을 각각 22sccm, 300sccm으로 고정하고, SF6 가스의 유량을 10sccm, 11sccm, 12sccm, 15sccm, 20sccm, 25sccm으로 바꾸어 플라즈마 에칭을 행하여 각각의 에칭률의 웨이퍼 면내 분포를 측정하고 그 평균을 취하여 그래프에 플롯한 것이다.
도 5는 웨이퍼(W) 상에 형성된 실리콘 산화막을 에칭하였을 때의 O2 가스의 유량비와 에칭률의 관계를 그래프로 한 것이다. 도 5의 실험에서는, C4F6 가스와 Ar 가스의 유량을 각각 22sccm, 300sccm으로 고정하고, O2 가스의 유량을 18sccm, 19sccm, 20sccm, 22sccm, 24sccm, 26sccm, 28sccm으로 바꾸어 플라즈마 에칭을 행하여 각각의 에칭률의 웨이퍼 면내 분포를 측정하고 그 평균을 취하여 그래프에 플롯하였다.
도 6은 웨이퍼(W) 상에 형성된 포토레지스트막을 에칭하였을 때의 O2 가스의 유량비와 에칭률의 관계를 그래프로 한 것이다. 도 6의 실험에서는, C4F6 가스와 Ar 가스의 유량을 각각 22sccm, 300sccm으로 고정하고, O2 가스의 유량을 18sccm, 19sccm, 20sccm, 22sccm, 24sccm, 26sccm, 28sccm으로 바꾸어 플라즈마 에칭을 행하여 각각의 에칭률의 웨이퍼 면내 분포를 측정하고 그 평균을 취하여 그래프에 플롯하였다.
또한, 이들 실험에 있어서의 그 밖의 에칭 조건은 하기와 같다.
[에칭 조건]
처리실 내 압력 : 15mTorr
상부 고주파 전력 : 2000W
하부 고주파 전력 : 1500W
상부 전극 온도 : 60℃
하부 전극 온도 : 0℃
측벽 온도 : 50℃
전열 가스의 센터 압력 : 10Torr
전열 가스의 에지 압력 : 35Torr
도 3, 도 5의 실험 결과에 따르면, 실리콘 산화막의 에칭률은, 첨가 가스로서 O2 가스를 사용한 경우에는 도 5에 나타내는 바와 같이 그 유량이 20sccm 이상에서 4000옹스트롬/min 전후에 머무른다. 이에 대해, 첨가 가스로서 SF6 가스를 사용한 경우에는 도 3에 나타내는 바와 같이 그 유량이 11sccm 이상에서 실리콘 산화막의 에칭률이 5000 내지 6000옹스트롬/min 정도의 범위에 있어, O2 가스를 사용한 경우에 비해 극히 높은 에칭률이 얻어져 있는 것을 알 수 있다.
또한, SF6 가스를 사용한 경우에는 도 3에 나타내는 바와 같이, 5000옹스트롬/min 정도보다도 낮은 범위에서는, SF6 가스의 유량을 약간 증가시키는 것만으로 급격하게 에칭률이 높아지는 것에 대해, 5000옹스트롬/min 정도를 초과하는 범위에서는, SF6 가스의 유량을 증가시켜도 에칭률은 서서히 증가하고 있지만, 그 변화량은 그다지 커지지 않는다. 이에 대해, O2 가스를 사용한 경우에는 도 5에 나타내는 바와 같이 20sccm 이상에서는 24sccm 정도까지는 에칭률에 그다지 변화는 없고, 더욱 유량을 증가시켜 가면 에칭률이 감소로 바뀌는 것을 알 수 있다. 이것에 따르면, SF6 가스의 경우는 그 유량을 증가시킬수록 에칭률을 높일 수 있는 것에 대해, O2 가스의 경우는 유량을 지나치게 증가시키면 에칭률이 낮아져 가는 것을 알 수 있다.
도 4, 도 6의 실험 결과에 따르면, 포토레지스트막의 에칭률은, 첨가 가스로서 O2 가스를 사용한 경우에는 도 6에 나타내는 바와 같이 200 내지 800옹스트롬/min 정도의 범위에서 서서히 증가한다. 이에 대해, 첨가 가스로서 SF6 가스를 사용한 경우에는 도 4에 나타내는 바와 같이 200 내지 1500옹스트롬/min 정도의 범위에서 서서히 증가하고 있어, O2 가스를 사용한 경우보다도 약간 높게 추이하고 있지만, 유량이 적은 범위(O2 가스에서는 24sccm 이하의 범위, SF6 가스에서는 11sccm 이하의 범위)에서는 에칭률이 거의 변하지 않는다. 따라서, SF6 가스에서는 실리콘 산화막의 에칭률이 O2 가스의 경우에 비해 매우 높기 때문에 그만큼 레지스트 선택비는 O2 가스에 비해 높아지는 것을 알 수 있다.
이상의 검증을 근거로 하여 도 7에 정리한 에칭 특성을 보면, 첨가 가스로서 SF6 가스를 사용한 경우(백색 원)에는, O2 가스를 사용한 경우(흑색 원)에 비해 에칭률과 레지스트 선택비가 모두 높아지는 것을 알 수 있다. 이것을 더욱 상세하게 검증하면, 첨가 가스로서 SF6 가스를 사용한 경우(백색 원)도, O2 가스를 사용한 경우(흑색 원)도 어느 유량까지는 에칭률과 레지스트 선택비는 모두 첨가 가스 유량의 증대에 따라서 서서히 높아지는 상승 경향에 있고, 어느 유량을 초과하면 급격하게 레지스트 선택비가 하강 경향으로 변화된다. 따라서, 그 변화점에서의 유량(도 7에 있어서 점선의 원으로 둘러싼 플롯)이 에칭률과 레지스트 선택비의 양쪽이 가장 높아지는 최적의 유량이다. 이때의 각 첨가 가스의 유량, 즉 첨가 가스의 최적의 유량은, O2 가스의 경우는 20sccm이고, SF6 가스의 유량은 11sccm이다. 즉, SF6 가스의 유량은 O2 가스의 유량의 1/2 정도에서 최적이 된다. 이와 같이, 에칭률과 레지스트 선택비의 관계에 기초하여 첨가 가스의 유량을 설정함으로써, 첨가 가스의 최적의 유량을 용이하게 발견할 수 있다.
또한, 첨가 가스가 최적의 유량일 때의 에칭률은, O2 가스를 사용한 경우는 4000옹스트롬/min 정도인 것에 대해, SF6 가스를 사용한 경우는 5000옹스트롬/min을 초과하는 극히 높은 수준으로 된다. 또한, 그때의 레지스트 선택비는, O2 가스를 사용한 경우는 13.0인 것에 대해, SF6 가스를 사용한 경우는 17.3으로, 레지스트 선택비도 O2 가스보다도 높아져 있는 것을 알 수 있다.
또한, 도 7에 있어서, 에칭률과 레지스트 선택비가 모두 상승 경향에 있는 범위에서 첨가 가스의 유량을 설정하도록 해도 된다. 예를 들어, O2 가스의 경우에는, 에칭률이 대략 4000옹스트롬/min 전후에서는 레지스트 선택비가 감소 경향으로 된다. 이로 인해, SF6 가스의 유량으로서는 에칭률이 대략 4000옹스트롬/min 이상으로 되는 범위에서 유량을 설정함으로써, 에칭률과 레지스트 선택비의 양쪽을 종래의 O2 가스의 경우보다도 높일 수 있다. 이와 같이, 에칭률과 레지스트 선택비의 관계에 기초하여 첨가 가스의 유량을 설정함으로써, 첨가 가스의 유량의 적합한 범위를 용이하게 발견할 수 있다.
이와 같이, 첨가 가스로서 SF6 가스를 사용한 경우에는, O2 가스를 사용한 경우보다도 에칭률이 높아지는 것은, 상술한 바와 같이 SF6 가스에서는 O2 가스의 경우보다도 F(불소 원자)가 C(탄소 원자)에 비해 대폭 증가하므로, 플루오로카본계 폴리머(CF계 폴리머)인 퇴적물의 막 두께를 보다 효과적으로 조정할 수 있기 때문이라고 생각된다. 이와 같이, SF6 가스의 유량을 조정함으로써, 퇴적물의 막 두께를 제어할 수 있다.
또한, SF6 가스를 사용한 경우도 레지스트 선택비가 높아지는 것은, O2 가스를 사용한 경우와 마찬가지로 실리콘 산화막의 에칭면에서는, 실리콘 산화막에 포함되는 산소가 스퍼터 아웃되어 CF계 폴리머의 퇴적물의 분해에 기여하는 것에 대해, 포토레지스트막의 표면에서는, 이온 충격 등에 의해서도 퇴적물이 용이하게는 제거되지 않기 때문이다.
또한, 첨가 가스로서 SF6 가스를 사용한 경우에, O2 가스를 사용한 경우보다도 레지스트 선택비가 더 높아지는 것은, SF6 가스에 포함되는 S(유황) 원자에 의해, CF계 폴리머의 퇴적물 중에 C-S 결합이 형성되므로, 퇴적물이 단단해져, 실리콘 산화막의 에칭면보다도 포토레지스트막의 표면의 에칭이 지연되기 때문이라고 생각된다. 이와 같이, SF6 가스를 사용한 경우에는 그 유량을 조정함으로써, 퇴적물의 경도도 조정할 수 있다. 이에 의해, SF6 가스를 사용한 경우에는 O2 가스를 사용한 경우보다도 레지스트 선택비를 보다 높게 할 수 있다.
이상 상세하게 설명한 바와 같이, 본 실시 형태에 있어서는, 퇴적성을 갖는 에칭 가스인 플루오로카본계 가스를 포함하는 처리 가스에 SF6 가스를 첨가 가스로서 첨가하고, 그 유량을 조정함으로써, 웨이퍼 상에 퇴적되는 퇴적물의 막 두께를 제어하는 동시에 퇴적물의 경도를 제어하면서, 피에칭막의 에칭을 진행시킬 수 있다. 이에 의해, 에칭률과 레지스트 선택비의 양쪽을 종래 이상으로 향상시킬 수 있어, 고어스펙트비의 홀이나 트렌치를 종래 이상으로 효율적으로 형성할 수 있다.
또한, 상기 첨가 가스의 유량은, 처리 가스의 종류 등에 따라 적합한 범위가 다르다. 예를 들어, 상술한 구체예와 같이 C4F6 가스(22sccm)와 Ar 가스(300sccm)의 처리 가스에 SF6 가스를 첨가하는 경우에는, SF6 가스의 유량이 11sccm 이하, 즉 플루오로카본계 가스의 유량의 50% 이하의 유량에서 에칭률과 레지스트 선택비가 모두 양호해진다. 이에 대해, C4F6 가스 이외의 가스를 처리 가스로서 사용하는 경우, 예를 들어 C4F6 가스보다도 F/C비가 작은 가스(예를 들어, C6F6)를 사용하는 경우에는 퇴적물도 C4F6 가스에 비해 많아지므로(예를 들어, 도 2 참조), 그 퇴적물을 적절하게 조정하기 위해서는 보다 많은 유량의 SF6 가스가 필요해진다. 단, 상술한 바와 같이 SF6 가스의 유량이 지나치게 많으면 레지스트 선택비가 저하되므로, 실용적으로는 플루오로카본계 가스의 유량의 70% 이하의 범위에서 적합한 SF6 가스의 유량을 설정하는 것이 바람직하다.
또한, 첨가 가스로서는, SF6 가스에 O2 가스를 더 첨가하도록 해도 좋다. 이에 의해, O2 가스의 유량에 의해 퇴적물의 막 두께의 미세 조정을 용이하게 할 수 있다. 즉, O2 가스의 쪽이 SF6 가스보다도 퇴적물을 제거하는 능력이 낮으므로, O2 가스를 포함한 쪽이 퇴적물의 막 두께의 미세 조정이 용이해진다.
또한, 상기 실시 형태에서는 피에칭막인 실리콘 함유 산화막으로서 실리콘 산화막을 예로 들어 설명하였지만, 실리콘 함유 산화막은, 실리콘 산화막뿐만 아니라 탄소 첨가 규산(SiOC)막, 수소 첨가 규산(SiOH)막, 불소 첨가 규산(SiOF)막 등의 무기 저유전율막으로 해도 된다. 또한, 상기 실리콘 산화막은, BPSG(붕소와 인의 실리케이트 글래스)나, PSG(인의 실리케이트 글래스)나, TEOS(테트라에톡시오르토실란)나, Th-OX(서멀옥사이드)나, SOG(스핀온글래스) 등으로 구성해도 된다. 또한, 퇴적성을 갖는 에칭 가스로서의 플루오로카본계 가스로서, C4F6 가스를 사용한 경우를 예로 들어 설명하였지만, 그 밖에, C4F8, C5F8, C6F6, C6F12 등의 플루오로카본계 가스를 사용해도 된다.
이상, 첨부 도면을 참조하면서 본 발명의 적합한 실시 형태에 대해 설명하였지만, 본 발명은 이러한 예에 한정되지 않는 것은 물론이다. 당업자라면 특허청구범위에 기재된 범주 내에 있어서, 각종 변경예 또는 수정예에 상도할 수 있는 것은 명백하며, 그들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것이라고 양해된다.
예를 들어, 상기 실시 형태에 있어서는, 플라즈마 에칭 장치로서, 상부 전극과 하부 전극의 양쪽에 고주파 전력을 인가하는 타입을 예로 들어 설명하였지만 이것에 한정되는 것은 아니며, 예를 들어 상부 전극에만 또는 하부 전극에만 고주파 전력을 인가하는 타입이나, 하부 전극에 다른 주파수의 고주파 전력을 중첩하는 타입이라도 좋다. 또한, 플라즈마 에칭 장치로서는, ECR 플라즈마 에칭 장치, 헬리콘파 플라즈마 에칭 장치, TCP형 플라즈마 에칭 장치, 유도 결합형 플라즈마 에칭 장치 등 여러 타입의 장치에 본 발명을 적용할 수 있다.
[산업상의 이용 가능성]
본 발명은, 산화막 등의 플라즈마 에칭, 예를 들어 고어스펙트비 콘택트(HARC) 프로세스에 적합한 플라즈마 에칭 방법 및 플라즈마 에칭 장치에 적용 가능하다.

Claims (6)

  1. 플라즈마 에칭 방법에 있어서,
    실리콘 함유 산화막이 형성된 기판을 처리실 내에 배치하는 것과,
    퇴적성을 갖는 에칭 가스인 플루오로카본계 가스를 포함하는 처리 가스와, 첨가 가스로서의 SF6 가스를 상기 처리실 내에 도입하는 동시에, 상기 처리실 내에 플라즈마를 생성하고, 레지스트 패턴을 마스크로서 사용하여 상기 플라즈마에 의해 상기 실리콘 함유 산화막의 에칭을 행하는 것을 포함하고,
    상기 첨가 가스의 유량의 변화에 대한 에칭률 및 레지스트 선택비의 변화의 관계에 기초하여, 상기 첨가 가스의 유량의 증대에 수반되는 상기 에칭률 및 상기 레지스트 선택비의 변화가 모두 상승 경향에 있는 상기 첨가 가스의 유량 범위 내로, 상기 첨가 가스의 유량을 설정하는 것을 특징으로 하는, 플라즈마 에칭 방법.
  2. 제1항에 있어서, 상기 관계에 있어서, 상기 첨가 가스의 유량의 증대에 수반되는 상기 레지스트 선택비의 변화가 상승 경향으로부터 하강 경향으로 변화되는 변화점에 대응하는 값, 즉 상기 유량 범위 내에 있어서의 최대값으로, 상기 첨가 가스의 유량을 설정하는 것을 특징으로 하는, 플라즈마 에칭 방법.
  3. 제1항에 있어서, 상기 첨가 가스의 유량은, 상기 플루오로카본계 가스의 유량의 70% 이하인 것을 특징으로 하는, 플라즈마 에칭 방법.
  4. 제1항에 있어서, 상기 첨가 가스로서, 상기 SF6 가스에 더하여 또한 O2 가스를 상기 처리실 내에 도입하는 것을 특징으로 하는, 플라즈마 에칭 방법.
  5. 제1항에 있어서, 상기 플루오로카본계 가스의 원료로서 상온에서 액체의 플루오로카본계 액체 원료를 사용하여, 상기 플루오로카본계 액체 원료를 기화기에 의해 기화시켜 상기 플루오로카본계 가스로 한 후에 상기 처리실 내에 공급하는 것을 특징으로 하는, 플라즈마 에칭 방법.
  6. 처리실 내에 소정의 가스의 플라즈마를 생성함으로써, 기판 상에 형성된 실리콘 함유 산화막에 대해 레지스트 패턴을 마스크로 하여 에칭을 행하는 플라즈마 에칭 장치이며,
    상기 처리실 내에 플루오로카본계 가스를 포함하는 처리 가스를 공급하는 처리 가스 공급계와,
    상기 처리실 내에 SF6 가스를 첨가 가스로서 공급하는 첨가 가스 공급계와,
    적어도 상기 처리 가스 공급계 및 상기 첨가 가스 공급계를 제어하는 제어부를 구비하고,
    상기 제어부는,
    퇴적성을 갖는 에칭 가스인 플루오로카본계 가스를 포함하는 처리 가스와, 첨가 가스로서의 SF6 가스를 상기 처리실 내에 도입하는 동시에, 상기 처리실 내에 플라즈마를 생성하고, 상기 레지스트 패턴을 마스크로서 사용하여 상기 플라즈마에 의해 상기 실리콘 함유 산화막의 에칭을 행하는 데 있어서, 상기 처리 가스의 유량 및 상기 첨가 가스의 유량을 각각 소정값으로 제어하도록 구성되고,
    상기 첨가 가스의 소정값은, 상기 첨가 가스의 유량의 변화에 대한 에칭률 및 레지스트 선택비의 변화의 관계에 기초하여, 상기 첨가 가스의 유량의 증대에 수반되는 상기 에칭률 및 상기 레지스트 선택비의 변화가 모두 상승 경향에 있는 상기 첨가 가스의 유량 범위 내로 설정된 것인 것을 특징으로 하는, 플라즈마 에칭 장치.
KR1020117007419A 2008-10-20 2009-10-19 플라즈마 에칭 방법 및 플라즈마 에칭 장치 KR101223819B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008269458A JP5530088B2 (ja) 2008-10-20 2008-10-20 プラズマエッチング方法及びプラズマエッチング装置
JPJP-P-2008-269458 2008-10-20
PCT/JP2009/068010 WO2010047308A1 (ja) 2008-10-20 2009-10-19 プラズマエッチング方法及びプラズマエッチング装置

Publications (2)

Publication Number Publication Date
KR20110063798A true KR20110063798A (ko) 2011-06-14
KR101223819B1 KR101223819B1 (ko) 2013-01-17

Family

ID=42119346

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117007419A KR101223819B1 (ko) 2008-10-20 2009-10-19 플라즈마 에칭 방법 및 플라즈마 에칭 장치

Country Status (5)

Country Link
US (1) US20110201208A1 (ko)
JP (1) JP5530088B2 (ko)
KR (1) KR101223819B1 (ko)
CN (1) CN102187439A (ko)
WO (1) WO2010047308A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102165039B1 (ko) * 2019-10-31 2020-10-14 성균관대학교산학협력단 건식 식각 방법 및 이에 사용되는 식각 전구체

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5719212B2 (ja) * 2011-03-30 2015-05-13 東京エレクトロン株式会社 成膜方法およびリスパッタ方法、ならびに成膜装置
JP5830275B2 (ja) * 2011-06-15 2015-12-09 東京エレクトロン株式会社 プラズマエッチング方法
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
JP2013254903A (ja) * 2012-06-08 2013-12-19 Panasonic Corp 基板のプラズマ処理方法
US9673125B2 (en) * 2012-10-30 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure
JP2014209515A (ja) 2013-04-16 2014-11-06 東京エレクトロン株式会社 エッチング方法
JP2014220387A (ja) * 2013-05-08 2014-11-20 東京エレクトロン株式会社 プラズマエッチング方法
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6239365B2 (ja) 2013-12-11 2017-11-29 東京エレクトロン株式会社 シリコン層をエッチングする方法
US20150318150A1 (en) * 2014-04-30 2015-11-05 Lam Research Corporation Real-time edge encroachment control for wafer bevel
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP7229033B2 (ja) * 2019-02-01 2023-02-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7398915B2 (ja) * 2019-10-01 2023-12-15 東京エレクトロン株式会社 基板処理方法、半導体デバイスの製造方法、及び、プラズマ処理装置
CN110823936B (zh) * 2019-10-24 2022-04-26 Tcl华星光电技术有限公司 蚀刻液喷射速度获取***及方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08130211A (ja) * 1994-10-31 1996-05-21 Tokyo Electron Ltd エッチング方法
TW320749B (ko) * 1994-09-22 1997-11-21 Tokyo Electron Co Ltd
US7189332B2 (en) * 2001-09-17 2007-03-13 Texas Instruments Incorporated Apparatus and method for detecting an endpoint in a vapor phase etch
JP2003297807A (ja) * 2002-04-01 2003-10-17 Japan Pionics Co Ltd エッチング方法
WO2004112119A1 (ja) * 2003-06-13 2004-12-23 Sumitomo Precision Products Co., Ltd. シリコンエッチング方法及び装置並びにエッチングシリコン体
JP2007537602A (ja) * 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US7531461B2 (en) * 2005-09-14 2009-05-12 Tokyo Electron Limited Process and system for etching doped silicon using SF6-based chemistry
JP4999185B2 (ja) * 2008-03-04 2012-08-15 富士フイルム株式会社 ドライエッチング方法及びドライエッチング装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102165039B1 (ko) * 2019-10-31 2020-10-14 성균관대학교산학협력단 건식 식각 방법 및 이에 사용되는 식각 전구체

Also Published As

Publication number Publication date
KR101223819B1 (ko) 2013-01-17
WO2010047308A1 (ja) 2010-04-29
JP2010098220A (ja) 2010-04-30
US20110201208A1 (en) 2011-08-18
JP5530088B2 (ja) 2014-06-25
CN102187439A (zh) 2011-09-14

Similar Documents

Publication Publication Date Title
KR101223819B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP5357710B2 (ja) 基板処理方法,基板処理装置,プログラムを記録した記録媒体
KR100861260B1 (ko) 플라즈마 에칭 방법 및 컴퓨터판독 가능한 기억 매체
TWI697046B (zh) 蝕刻方法
TW201826386A (zh) 用於高深寬比結構之移除方法
US8263496B1 (en) Etching method for preparing a stepped structure
US8592327B2 (en) Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
TW201742142A (zh) 蝕刻方法
KR20140051090A (ko) 구리층을 에칭하는 방법 및 마스크
KR101858324B1 (ko) 플라즈마 에칭 방법
US20120149206A1 (en) Plasma etching method and computer-readable storage medium
US8642482B2 (en) Plasma etching method, control program and computer storage medium
TWI716378B (zh) 蝕刻方法
JP6833657B2 (ja) 基板をプラズマエッチングする方法
TW200818312A (en) Plasma-etching method and computer-readable storage medium
CN109196624B (zh) 蚀刻方法
TW201840248A (zh) 電漿處理方法及電漿處理裝置
TWI713486B (zh) 蝕刻方法(二)
TWI809086B (zh) 蝕刻方法及電漿處理裝置
US20080014755A1 (en) Plasma etching method and computer-readable storage medium
JP7403314B2 (ja) エッチング方法及びエッチング装置
JP6226668B2 (ja) プラズマ処理方法
WO2023008025A1 (ja) エッチング方法、半導体装置の製造方法、エッチングプログラムおよびプラズマ処理装置
KR20200121238A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20171219

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200106

Year of fee payment: 8