KR20060039923A - 개선된 저-k 재료에 대한 자외선 경화 공정 - Google Patents

개선된 저-k 재료에 대한 자외선 경화 공정 Download PDF

Info

Publication number
KR20060039923A
KR20060039923A KR1020067001476A KR20067001476A KR20060039923A KR 20060039923 A KR20060039923 A KR 20060039923A KR 1020067001476 A KR1020067001476 A KR 1020067001476A KR 20067001476 A KR20067001476 A KR 20067001476A KR 20060039923 A KR20060039923 A KR 20060039923A
Authority
KR
South Korea
Prior art keywords
cured
dielectric material
approximately
dielectric
treated
Prior art date
Application number
KR1020067001476A
Other languages
English (en)
Inventor
카를로 왈드프리에드
칭웬 한
올랜도 에스코르샤
삼세 이반 엘 베리
Original Assignee
액셀리스 테크놀로지스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 액셀리스 테크놀로지스, 인크. filed Critical 액셀리스 테크놀로지스, 인크.
Publication of KR20060039923A publication Critical patent/KR20060039923A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/02Polysilicates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Inorganic Insulating Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

개선된 탄성 계수 및 재료 경도를 갖는 저 유전 상수 재료가 개시된다. 이와 같은 재료를 제조하는 공정은 유전체 재료를 제공하는 단계 및 UV 경화된 유전체 재료를 제조하기 위하여 상기 재료를 자외선(UV) 경화하는 단계를 포함한다. UV 경화는 개선된 계수 및 재료 경도를 갖는 재료를 생성한다. 개선은 각각 전형적으로 대략 50% 또는 그보다 높다. UV 경화된 유전체 재료는 선택적으로 사후-UV 처리될 수 있다. 사후-UV 처리는 UV 경화된 유전 재료에 비하여 개선된 탄성 계수 및 재료 경도를 유지하면서 재료의 유전 상수를 감소시킨다. UV 경화된 유전체는 부가적은 퍼니스 경화 공정보다 경화에 대한 더 낮은 전체 열예산을 나타낼 수 있다.
유전 상수, 탄성 계수, 재료 경도, 유전체 재료, 자외선 경화.

Description

개선된 저-K 재료에 대한 자외선 경화 공정{ULTRAVIOLET CURING PROCESSES FOR ADVANCED LOW-K MATERIALS}
본 발명은 일반적으로 반도체 칩 제조시에 사용되는 공정에 관한 것이다. 특히, 본 발명은 집적 회로(IC) 유전체로서 사용되는 어떤 재료의 구조적인 특성 및 신뢰도를 개선시키는 공정에 관한 것이다.
(종래 기술에 "저-k 유전체"로서 공지된) 저 유전 상수를 갖는 새로운 재료가 반도체 칩 디자인에서의 절연체로서의 자신의 잠재적인 용도에 대해 조사되고 있다. 저 유전 상수 재료는 집적 회로 특성 디멘전(feature dimension)의 부가적인 감소를 가능하게 하는데 도움이 된다. 종래의 IC 처리에서, 대략 3.9의 유전 상수를 발생시키는 유전체 재료에 대한 기초로서 SiO2가 사용된다. 더구나, 개선된 고밀도 저-k 유전체 재료는 대략 2.8 아래의 유전 상수를 갖는다. 최저 유전 상수를 갖는 물질은 은 공기(k=1.0)이다. 그러므로, 다공성 유전체는 매우 낮은 유전 상수를 제공할 가능성을 가지기 때문에, 매우 장래성 있는 후보이다. 그러나, 불행하게도, 개선된 저-k 유전체는 전형적으로 기계적인 경도가 불충분하다는 단점을 가지며, 이러한 재료의 증착 온도는 허용 가능한 열예산(thermal budget)을 초과할 수 있 다.
전기 장치상의 박막 유전체 코팅이 종래 기술에 공지되어 있다. 예를 들어, Haluska 등에 의한 미국 특허 제4,749,631호 및 제4,756,977호는 기판에 각각 실리콘 알콕시드 또는 수소 실세스퀴옥산의 용액을 각각 가하고 나서, 코팅된 기판을 200 및 1000℃의 온도로 가열함으로써 제조된 실리카에 기초한 코팅을 개시한다. 이러한 코팅의 유전체 상수는 종종 어떤 유전체 장치 및 회로에 대해 너무 높다.
Haluska 등에 의한 미국 특허 제4,847,162호 및 4,842,888호는 수소 실세스퀴옥산 수지 및 실리케이트 에스테르를 각각 암모니아 앞에서 200 및 1000℃의 온도로 가열함으로써 질화된 실리카 코팅의 형성을 교시한다.
Glasser 등의 Jounal of Non-Crystalline Solids, 63(1984) pp.209-221은 암모니아 앞에서 테트라에폭시실란을 가열함으로써 세라믹 코팅의 형성을 교시한다. 이 참조문헌은 결과적인 실리카 코팅이 질화되는 무수 암모니아의 사용을 교시한다.
Jada에 의한 미국 특허 제4,636,440호는 수성의 4기 암모늄 수산화물 및/또는 알카놀 아민 화합물에 기판을 노출시키는 단계를 포함하는 솔-겔 코팅된 기판에 대한 건조 시간을 감소시키는 방법을 개시한다. Jada는 코팅이 가열 이전에 건조되는 것을 필요로 한다. 이것은 특히 가수분해되거나 부분적으로 가수분해된 실리콘 알콕시드에 국한된다.
Chandra 등에 의한 미국 특허 제5,262,201호 및 Baney 등에 의한 제5,116,637호는 수소 실세스퀴옥산을 모두 포함하는 다양한 프리세라믹 재료의 세라 믹 코팅으로의 변환을 위해 필요로 되는 온도를 낮추는 염기성 촉매의 사용을 교시한다. 이러한 참조문헌은 코팅이 염기성 촉매에 노출되기 이전에 용매의 제거를 교시한다.
Camilletti 등에 의한 미국 특허 제5,547,703호는 습식 암모니아, 건식 암모니아, 및 산소 하에서 수소 실세스퀴옥산 수지를 연속적으로 가열하는 단계를 포함하는 기판상에 저 유전 상수 Si-O를 함유한 코팅을 형성하는 방법을 교시한다. 결과적인 코팅은 1 MHz에서 2.42만큼 낮은 유전 상수를 갖는다. 이 참조문헌은 코팅을 세라믹으로 변환하기 이전에 용매의 제거를 교시한다.
Balance 등에 의한 미국 특허 제5,523,163호는 수소 실세스퀴옥산 수지를 Si-O를 함유하는 세라믹 코팅으로 변환하기 위하여 수소 실세스퀴옥산 수지를 가열하고 나서, 상기 코팅을 수소 가스를 함유한 어닐링 분위기(annealing atmosphere)에 노출시키는 단계를 포함하는 기판상에 Si-O 함유 코팅을 형성하는 방법을 교시한다. 결과적인 코팅은 2.773 만큼 낮은 유전 상수를 갖는다. 상기 참조문헌은 코팅을 세라믹으로 변환하기 이전에 용매의 제거를 교시한다.
Syktich 등에 의한 미국 특허 제5,618,878호는 두꺼운 세라믹 코팅을 형성하는데 유용한 포화된 알킬 탄화수소에 용해되는 수소 실세스퀴옥산 수지를 함유한 코팅 구조를 개시한다. 개시된 알킬 탄화수소는 도데칸까지의 것들이다. 상기 참조문헌은 용매 제거 이전에 코팅된 기판의 염기성 촉매로의 노출을 교시하지 않는다.
Chung 등의 미국 특허 제6,231,989호는 저 유전 상수를 갖는 다공성 네트워크 코팅을 제조하는 방법을 개시한다. 상기 방법은 용매의 적어도 5 부피 퍼센트가 증착 이후에 코팅에 남아 있는 방식으로 적어도 2 Si-H 그룹 및 용매를 함유하는 수지를 포함한 용액으로 기판상에 코팅을 증착시키는 단계를 포함한다. 그리고 나서, 상기 코팅은 염기성 촉매 및 물을 포함하는 환경에 노출된다. 최종적으로, 상기 용매는 코팅으로부터 기화되어 다공성 네트워크를 형성한다. 바람직한 경우, 상기 코팅은 세라믹을 형성하기 위하여 가열에 의해 경화될 수 있다. 이 공정에 의해 제조된 막은 대략 2 및 3 GPa 사이의 탄성 계수를 갖는 1.5 내지 2.4의 범위의 유전 상수를 갖는다.
스핀-온 및 화학적 기상 증착 공정 또는 자기-조립 공정에 의해 제조된 저-k 유전 재료는 전형적으로 증착 이후의 경화 공정을 필요로 한다. 저-k 막을 경화하기 위한 전형적인 공정 조건은 30 내지 180 분 동안 대략 350 및 450℃ 사이의 온도에서 질소 정화된 퍼니스 어닐(nitrogen purged furnace anneal)을 포함한다. 본원에 모두 참조되어 있는 미국 특허 출원 일련 번호 제09/681,332호(지금은 미국 특허 제6,558,755호), 제09/952,649호, 제09/906,276호 및 10/627,894호에 서술된 바와 같이, 열적 경화 또는 플라즈마 처리 대신에, 저-k 막은 실질적으로 더 짧은 시간 또는 더 저온에서 UV 경화되어, 사전 퍼니스 경화에 대한 필요성을 제거하므로, 전체 열예산을 감소시킬 수 있다.
더구나, 자신의 전기적인 특성을 손상시키거나 저하시키지 않고, 개선된 탄성 계수 및 재료 경도와 같은 개선된 구조적인 특성을 갖는 다른 저-k 재료를 제조하는 공정에 대한 필요성이 존재한다.
본 발명은 개선된 저-k 재료를 위한 자외선 경화 공정을 제공함으로써 상기 요구를 충족시킨다.
본 발명이 특정 장점 또는 기능에 국한되지 않을지라도, 상기 공정이 저 유전 상수와 개선된 탄성 계수 및 재료 경도를 갖는 재료를 제조하거나, 비교되는 계수 및 경도를 갖는 재료를 제조하고, 퍼니스 경화에 비해 전체 열예산을 감소시킬 수 있다는 것이 주의된다.
본 발명의 일 실시예에 따르면, 제1 유전 상수를 가지고, 제1 탄성 계수를 가지며 제1 재료 경도를 가지는 유전 재료를 제공하는 단계를 포함하는 UV 경화된 재료를 제조하는 공정이 제공된다. 유전체 재료는 상기 제1 유전 상수와 비교되는 제2 유전 상수를 가지고, 상기 제1 탄성 계수보다 큰 제2 탄성 계수를 가지며, 제1 재료 경도보다 큰 제2 재료 경도를 가지는 UV 경화된 유전체 재료를 제조하기 위하여 자외선(UV) 방사에 의해 경화된다. "비교되는"은 제1 유전 상수의 대략 ±20% 이내를 의미한다. 탄성 계수 및 재료 경도의 증가는 전형적으로 대략 50% 이상이다.
UV 경화된 유전체 재료는 선택적으로 제3 유전 상수를 가지고, 제3 탄성 계수를 가지며, 제3 재료 경도를 가지는 사후-UV 처리되는, UV 경화된 유전체 재료를 제공하기 위하여 사후-UV처리될 수 있다. UV 경화된 유전체 재료의 사후-UV 처리는 사후-UV처리 이전의 탄성 계수 및 재료 경도에 비하여, 탄성 계수 및 재료 경도의 증가를 유지하면서, 재료의 탄성 계수를 감소시킨다. 특히, 제3 탄성 계수 및 재료 경도는 각각 제2 탄성 계수 및 재료 경도의 대략 ±20%보다 크거나 그 이내이다.
UV 경화된 재료를 제조하는 공정은 유전체 재료를 공정 챔버에 위치시키는 단계, 실내 공기를 제거하기 위하여 상기 공정 챔버를 배기하거나 정화하는 단계, 공정 가스를 상기 공정 챔버에 제공하는 단계, 유전체 재료를 가열하는 단계, 및 상기 유전체 재료는 UV 방사선에 노출시키는 단계를 더 포함할 수 있다.
본 발명의 다른 실시예에 따르면, 경화되지 않은 저-k 유전체 재료를 제공하는 단계, 및 UV 경화된 유전체 재료를 제조하기 위하여 대략 5분보다 길지 않은 시간, 또는 대략 450℃보다 크지 않은 온도에서 유전체 재료를 UV 경화하는 단계를 포함하는 UV 경화된 재료를 제조하는 공정이 제공되며, 여기서, UV 경화의 전체 열예산은 퍼니스 경화 공정에 비하여 대략 50%보다 많이 감소된다.
따라서, 본 발명의 목적은 개선된 탄성 계수 및 재료 경도, 및 낮은 유전 상수를 가지는 유전체 재료를 제조하는 것이다.
부가적으로, 본 발명의 목적은 형성 및 경화 공정이 감소된 시간 도는 감소된 온도 또는 이 둘 다에서 발생하여, 상기 공정에 대한 열예산을 감소시키는 유전체 재료를 제조하는 것이다.
본 발명의 이러한 특성과 장점 및 다른 특성과 장점은 첨부 도면과 함께 본 발명의 다음의 상세한 설명으로부터 충분히 이해될 것이다. 청구항의 범위가 본 명세서에서 설명된 특성 및 장점의 특정한 논의에 의해서가 아니라, 본원에 상술된 것에 의해 규정된다는 것이 주의된다.
본 발명의 실시예의 다음의 상세한 설명은 동일한 구조에는 동일한 참조 번호가 병기되어 있는 다음의 도면과 함께 판독할 때, 가장 양호하게 이해될 수 있다.
도 1은 열적으로 경화되고, 열적으로 경화되고 O2에서 UV 경화되고, 열적으로 경화되고 N2에서 UV 경화되는 MSQ에 기초한 스핀-온 저-k 막에 대한 FTIR 스펙트럼을 도시한 도면.
도2는 경화되지 않고, O2에서 UV 경화되고, N2에서 UV 경화되는 HSQ에 기초한 스핀-온 저-k 막에 대한 FTIR 스펙트럼을 도시한 도면.
도3은 경화되지 않고, O2에서 UV 경화되고, N2에서 UV 경화되는 5% MSQ/95% HSQ에 기초한 스핀-온 저-k 막에 대한 FTIR 스펙트럼을 도시한 도면.
도4는 경화되지 않고 UV 경화된 CVD 저-k 막에 대한 FTIR 스펙트럼을 도시한 도면.
도면 내의 요소들이 간소화 및 명확화를 위해 도시되고 반드시 비례하도록 도시될 필요가 없다는 것을 당업자들은 인식한다. 예를 들어, 도면의 요소들의 일부의 디멘전은 본 발명의 실시예의 이해를 개선시키는 것을 돕기 위하여 다른 요소에 비하여 과장될 수 있다.
본 발명은 사실상 임의의 유전체 재료를 UV 경화하는 것이 실질적으로 퍼니스 경화에서보다 빠르게 행해질 수 있고, 일반적으로, 자신의 저 유전 상수 특성을 유지하면서, 재료의 탄성 계수(영률) 및 재료 경도를 증가시킬 수 있다는 발견에 기초한다. 유전체 재료는 유기 재료, 무기 재료, 또는 이들의 조합을 포함할 수 있지만, 이들에 국한되는 것이 아니다. 특히, 유전체 재료는 스핀-온, 화학적 기상 증착(CVD), 플라즈마 보조 CVD, 기화, 반응성 기화, 또는 자기-조립된 공정을 사용하여 기판상에 유전체 코팅을 증착함으로써 제조될 수 있는 수소 실세스퀴옥산(HSQ) 유전체 재료, 메틸실세스퀴옥산(MSQ) 유전체 재료, 탄소 도핑된 산화물(CDO) 유전체 재료, 수소화된 실리콘 옥시-탄화물(SiCOH) 유전체 재료, 벤조시클로부텐(BCB) 유전체 재료, 아릴시클로부텐-기 유전체 재료, 폴리페닐렌-기 유전체 재료, 및 이들의 조합을 포함할 수 있지만, 이에 국한되는 것은 아니다. 유전체 재료는 다공성이거나 고밀도일 수 있다. 다공성 유전체 재료는 상호연결되거나 폐쇄될 수 있고, 랜덤으로 또는 수직 구멍(vertical pore)과 같이 순서화되어 분포될 수 있는 포로젠-발생되고, 용매-형성되거나, 분자-엔지니어링된 구멍을 가질 수 있다.
일부 저-k 재료의 경우, 상이한 파장 또는 온도를 사용하여 UV 경화된 유전체 재료를 사후-UV 처리하면 저 유전 상수, 및 동일하거나 부가적인 개선된 탄성 계수 및 재료 경도를 갖는 재료가 제조된다.
본 발명의 공정은 특히, 레벨간 유전체 층, 트랜지스터와 같은 장치, 커패시터 및 커패시터와 같은 장치, 다층 장치, 3-D 장치, 실리콘 온 인슐레이터 장치, 수퍼 격자 장치 등을 제조하기 위한 실리콘을 함유한 안료가 로딩된 바인더 시스템의 역할을 할 수 있는 전자 장치 또는 전자 회로 상에 코팅을 증착시키는 것에 이용 가능하다. 그러나, 본 발명에 의해 코팅될 기판 및 장치의 선택은 본 발명에서 사용된 온도 및 압력에서 기판의 열적이고 화학적인 안정성에 대한 요구에 의해서 만 제한된다. 이와 같이, 본 발명의 유전체 재료는 예를 들어, 폴리이미드, 에폭시, 폴리에트라플루오로에틸렌 및 이의 공중합체, 폴리카보네이트, 아크릴 및 폴리에스테르, 세라믹, 가죽, 직물, 금속, 반도체 등을 포함하는 플라스틱과 같은 기판상에 사용될 수 있다.
본원에서 사용된 바와 같이, "세라믹"이라는 표현은 다공성 실리카 등의 세라믹 및 탄소 및/또는 수소가 완전히 자유롭지 않지만 특성상 세라믹인 다공성 실리카와 같은 재료 등의 세라믹과 같은 재료를 포함한다. "전자 장치" 또는 "전자 회로"라는 표현은 실리콘에 기초한 장치, 갈륨 비화물에 기초한 장치, 실리콘 탄화물에 기초한 장치, 초점면 어레이, 광-전 장치, 광전 셀, 및 광 장치를 포함하지만, 이에 국한되지 않는다.
유전체 재료는 본 발명에 대한 시작 재료로서 필요로 된다. 하나의 이와 같은 재료는 예를 들어, ASM 국제적인 N.V.(Bilthoven, Netherlands)로부터 이용 가능한 AURORA, 수소화된 실리콘 옥시-탄화물(SiCOH) 유전체 재료 개선된 CVD 저-k 막과 같은 개선된 CVD 저-k 막이다. 이와 같은 개선된 저-k 유전체 재료는 플라즈마 강화된 화학적 기상 증착 공정을 사용하여 제조되며, 전형적으로 2.5 또는 그보다 적은 k 값을 갖는다. CVD 저-k 재료의 다른 예는 Applied Materials Inc(Santa Clara, CA)로부터 이용 가능한 Black Diamond 막, Novellus Systems Inc(San hose, CA)로부터 이용 가능한 Coral 저-k 재료, 및 Trikon Technologies Inc(Newport, South Wales, UK)로부터 이용 가능한 Orion 재료를 포함한다. 본 발명과 함께 사용하기 위한 전형적인 스핀-온에 기초한 유전체 재료는 1) Dow Corning Corporation(Midland, MI)로부터 이용 가능한 FOx HSQ에 기초한 유전체 재료 및 XLK 다공성 HSQ에 기초한 유전체 재료, 및 2) Chemat Technology, Inc(Northridge, CA) 및 JSR Corporation(Tokyo, Japan)으로부터 이용 가능한 저-k 다공성 유전체 MSQ에 기초한 재료를 포함한다.
본 발명과 함께 사용하기 위한 전형적인 개선된 저-k 유전체 재료의 제조는 종래 기술에 널리 공지되어 있다. 이와 같은 유전체 재료를 제조하는 하나의 방법은 초저 유전체 상수를 갖는 다공성 유전체 재료를 제조하는 방법에 대한 교시를 위하여 본원에 참조되어 있는 미국 특허 제6,231,989호에 개시된 다공성 네트워크 코팅이다. 상기 특허는 구멍이 HSQ에 기초한 막 내로 도입되는 대략 1.5 및 2.4 사이의 유전 상수를 가지는 초저 유전체 상수 코팅의 제조를 서술한다. 열 조건 하에서 경화되었던 미국 특허 제6,231,9889호에 교시된 방법에 따라 제조된 HSQ에 기초한 막은 대략 20 내지 60^ Si-H 본드 밀도를 포함한다. 코팅의 유전 상수가 대략 2.0인 경우, 코팅은 대략 2 및 3 GPa 사이의 탄성 계수를 갖는다.
개선된 저-k 유전체를 제조하는 제2 방법은 2.5에서 3.0까지 만큼의 낮은 유전 상수를 가지는 유기실리콘 산화물 유전체 막의 형성을 서술하는 미국 특허 제6,558,747호에 개시되어 있다. 대안 방법은 개선된 저-k 유전체가 실리콘 함유 가스를 화학적 기상 증착 시스템 내의 과산화수소 화합물과 반응시킴으로써 형성되는 미국 특허 제6,475,564호, 및 플라즈마 CVD 공정에 의해 SiCOH에 기초한 개선된 저-k 재료의 형성을 서술하는 제6,383,955호에 서술되어 있다.
개선된 저-k 재료를 제조하는 다른 방법은 큰 알킬 기를 함유한 실록산 수지를 열적으로 경화시키고 알킬 기를 열적으로 분해하여 코팅에 구멍(porosity)을 생성하도록 하는 것이다. 본원에 참조되어 있는 Zhong에 의한 미국 특허 제6,143,360호 및 6,184,260호에 개시된 바와 같이, 히드리도 함유 수지는 플래티넘 기 금속-함유 수소 규소화 촉매 앞에서 대략 8 내지 28 탄소 원자를 포함하는 1-알켄과 접촉하게 되어, 실리콘 원자의 적어도 대략 5%가 적어도 하나의 수소 원자로 치환되는 알킬히드리도실록산 수지를 형성하도록 하고, 결과적인 수지는 상기 수지를 경화시키도록 하고 실리콘 원자로부터 알킬 기를 열분해시키도록 하는 충분한 온도로 가열됨으로써, 나노다공성 실리콘 수지를 형성하도록 한다.
본원에 참조되어 있는 Zhong 등에 의한 미국 특허 제6,232,424호, 제6,359,096호, 제6,313,045호, 및 제6,541,107호는 실리콘 수지 및 상기 실리콘 수지로부터 제조된 다공성 코팅을 개시한다. 실리콘 수지는 테트라알콕시실란의 15 내지 70 몰 %, 식 R'SiX3에 의해 서술된 유기실란의 12 내지 60 몰 %, 및 식 R"Si(OR"')3에 의해 서술된 유기 트리알콕시실란의 15 내지 70 몰 %를 절충한 혼합물로부터 제조되며, 여기서 R'은 1 내지 6 탄소 원자를 함유한 알킬기 또는 수소이고, R"이 대략 8 내지 24 탄소 원자를 절충한 탄화수소 기 또는 대략 8 내지 24 탄소 원자를 갖는 탄화수소 사슬을 절충한 치환된 탄화수소 기이다.
본원에 참조되어 있는 Zhong에 의한 미국 특허 제6,596,834 B2호는 일반 식(R1SiO3/2)x(HSiO3/2)y을 갖는 실리콘 수지로부터 제조된 다공성 코팅을 개시하며, 여기서 R1은 8 내지 24 탄소 원자를 갖는 알킬기이다. 여기서 제조된 코팅은 1.5 및 2.3 사이의 유전 상수를 갖는다. 상기 참조된 출원은 또한 (R1SiO3 /2)x(HSiO3 /2)y의 식을 갖는 수지로부터 두 단계에서 제조된 다공성 저-K 유전체의 다음의 설명을 제공하며, 여기서 R1은 3,7,11,15-테트라메틸-3-히드록시-헥사데실이다.
2001년 9월 12일자로 출원되고 본원에 참조되어 있는 Zhong에 의한 명칭이 "SILICONE RESINS AND POROUS MATERIALS PRODUCED THEREFROM"인 미국 특허 가출원 번호 제09/951,899호는 일반 식(R1SiO3 /2)u(HSiO3/2)v(SiO4 /2)w(HOSiO3 /2)z을 갖는 실리콘 수지로부터 제조된 다공성 코팅을 개시하며, 여기서 R1은 알킬 사슬 상의 펜던트 위치(pendant position)에서 하나 이상의 전자-흡인 기를 함유한 8 내지 24 개의 탄소 원자를 갖는 분기 알킬기이고; u는 01. 내지 0.7의 값을 가지며; v는 -.12 내지 0.6의 값을 가지며; z≥이며; w+z는 0.15 내지 0.7의 값을 가지며; u+v+w+z=1이다.
단계 1. 수지 샘플이 상술된 미국 특허 출원의 테이블 1에 서술된 양에서 하술되는 성분들 (A),(B),(C),(D),(E) 및 (F)을 결합함으로써 준비된다.
(A) 트리에톡시실란의 0.45 몰 부분
(B) 유기트리에톡시실란(RSi(OR')3)의 0.25 몰 부분, 여기서 R은 3,7,11,15-테트라메틸-3-히드록시-헥사데실임
(C) 테트라에톡시실란의 0.30 몰 부분, 및
(D) 결과적인 수지의 농도를 9%로 만드는데 충분한 이소부틸 케톤(MIBK) 및 이소부틸 이소부틸레이트(6:4 가중 비율)의 혼합물
이 혼합물에 상기 참조된 출원의 테이블 1에 서술된 양에서의 (E) 물 및 (F) 수소 염화물이 부가되었다. 결과적인 반응 부산물은 고체 내용물이 14 내지 21%가 될 때까지, 60℃에서 감소된 압력 하에 휘발성 물질로 스트립핑되었다. 고체 내용물 14%를 만들기 위하여 이소부틸 이소부틸레이트가 부가되었다. 그리고 나서, 용액이 가열되어 2 시간 동안 리플럭스(reflux)로 가열되고, 생성된 물이 연속적으로 제거되었다. 그리고 나서, 용매는 이소부틸 이소부틸레이트를 스트립핑하고 시클로헥사논을 부가함으로써 시클로헥사논으로 변화되었다.
단계 2. 결과적인 수지 용액은 유전 상수 측정에 적합한 실리콘 웨이퍼 상으로 스핀-코팅되었고, 1 시간 동안 440℃의 질소 흐름에서 경화되었다. 유전 상수는 1.9로서 측정되었다. 대안으로, 스핀-코팅된 막의 경화는 플라즈마 및/또는 UV 보조된 공정으로 가속될 수 있다.
본원에 참조되어 있는 Albaugh 등에 의한 미국 특허 가출원 번호 제09/915,899호는 (RSiO3 /2)(R'SiO3 /2)(R"SiO3 /2) 수지를 함유하는 수지로부터의 다공성 코팅을 개시하며, 여기서 R은 1 내지 5 개의 탄소 원자들 및 수소 원자를 갖는 알 킬기이고, R'는 분기 알킬기이며, R"는 6 내지 30 개의 탄소 원자들을 가지는 치환되거나 치환되지 않은 선형의, 분기의, 또는 원형의 1가 유기기이다.
본원에 참조되어 있는 Boisveret 등에 의한 미국 특허 가출원 번호 제09/915,903호, 및 Albaugh 등에 의한 제09/915,902호는 식 TRTR'의 수지로부터 제조된 다공성 코팅을 개시하며, 여기서 R은 메틸 또는 수소기 중 하나이며, R'는 분기의 알콕시기이다.
저 유전 상수를 갖는 유전체 재료가 바람직할지라도, 탄성 계수 및 재료 경도가 높은 유전체 재료를 갖는 것이 바람직할 것이다.
유전체 재료의 탄성 계수를 상승시키기 위하여, 유전체 재료는 UV 경화 공정에 노출된다. UV 경화 공정은 저-k 유전체 재료의 기계적인 특성을 개선시키고, 유전체 구멍, 구조, 밀도, 및 특성을 유지하면서 재료 경도를 증가시킨다.
전형적인 UV경화 공정에서, UV 라디에이터 도구가 사용되며, 이것은 우선 수소, 헬륨, 또는 아르곤으로 정화되어, UV 방사선이 최소 스펙트럼 흡수를 갖는 공정 챔버 내로 들어가도록 한다. 유전체 재료는 개별적으로 정화되어 N2, H2, Ar, He, Ne, H2O 증기, COz, Oz, CxHy, CxFy, CxHzFy, 및 이들의 혼합물과 같은 가스를 처리하는 공정 챔버 내에 위치되며, 여기서 x는 1과 6 사이의 정수이고, y는 4와 14 사이의 정수이며, z는 1과 3 사이의 정수이며, 상이한 애플리케이션에 대해 사용될 수 있다. 이 점에서, UV 경화는 진공 조건, 또는 산소 또는 산화 가스가 존재하지 않는 조건에서 발생할 수 있다. 상이한 스펙트럼 분포를 갖는 UV 발생 벌브(UV generating bulb)는 예를 들어, Axcelis Technologies(Beverly, MA)로부터 이용 가능한 HL 또는 HL- 과 같은 애플리케이션에 따라 선택될 수 있다. 이와 같이, UV 광원은 구동된 마이크로파, 아크 방전, 유전체 배리어 방전, 또는 발생된 전자 충돌일 수 있다. 웨이퍼 온도는 적외선 광원, 광학적인 광원, 뜨거운 표면, 또는 UV 광원 자신에 의해 선택적으로 대략 실온으로부터 450℃까지의 범위로 제어될 수 있다. 공정 압력은 대기압보다 적거나, 대기압보다 크거나 또는 대략 동일할 수 있다.
200 mm 웨이퍼에 대한 전형적인 경화 조건의 예가 아래에 제시되어 있다.
UV 전력: 0.1mW - 2000 mW/cm2
UV 파장: 100-600nm로부터 지속된 스펙트럼 분포
웨이퍼 온도: 실온. - 450℃
공정 압력: <,>, 또는 = 대기압
UV 경화 시간: < 300 초
공정 가스: He/Ne/Ar/H2/N2/H2O/CO/CO2/CxHy/O2/CxHzFy
UV 경화된 유전체 재료의 탄성 계수 및 재료 경도는 퍼니스 (열적으로) 경화되거나 경화되지 않은 유전체 재료에 비하여 증가된다. 퍼니스 경화되거나 경화되지 않은 개선된 저-k 재료는 전형적으로 유전 상수가 대략 1.6 및 2,7 사이인 경우, 대략 0.5 GPa 및 8 GPa 사이의 탄성 계수를 갖는다. 전형적으로, UV 경화된 유전체 재료의 탄성 계수는 대략 2.5 GPa이거나 그 이상이고, 더 전형적으로는, 대략 4 GPa 및 12 GPa 사이이다. 전형적으로, 퍼니스 경화되거나 경화되지 않은 유전체 재료의 재료 경도는 대략 0.25 GPa이거나 그 이상이고, 더 전형적으로는 대략 0.25 GPa 및 1.2 GPa 사이이다.
부가적으로, UV 경화 공정은 전형적으로 대략 20 초에서부터 5분까지의 시간에서 420℃ 또는 그 이하에서 동작되는 반면, 퍼니스 경화는 전형적으로 30분 내지 3 시간 동안 대략 420℃에서 동작한다. 그러므로, UV 경화 공정은 퍼니스 경화에 비해 전체 열예산을 감소시킨다.
본 발명의 UV 경화된 유전체 재료는 개선된 화학적인 안정성 및 개선된 디멘전 안정성을 갖는다. 개선된 "화학적인 안정성"이라는 것은 유전체 재료는 클리닝 용액 또는 화학적인 폴리싱 용액, 및 포토레지스트 애싱 및 건식 에칭 공정 동안 손상을 주는 플라즈마와 같은 화학제에 더 내성이 있다는 것을 의미한다.
그러나, 일부 저-k 재료 및 공정 가스 조합의 경우, UV 경화 공정은 유전체 재료에서 일부의 원하지 않는 극성의 종을 발생시킬 수 있다. 이러한 경우에, UV 경화된 유전체 재료는 바람직한 경우, 선택적으로 유전 상수를 감소시키고/거나 탄성 계수 및 재료 경도를 증가시키기 위하여 열, UV 또는 플라즈마 노출을 사용하여 사후-UV 처리될 수 있다. 예를 들어, UV 경화된 유전체 재료는 대략 30 및 60분 사이의 동안에 대략 400℃ 및 450℃ 사이의 온도에서와 같이, 극성 종이 제거될 때까지 종래의 오븐 내에 재료를 위치시킴으로써 어닐링될 수 있다. 재료를 어닐링하는 대안 공정은 유전 상수를 감소시키기 위하여 고속 어닐 처리(RAP) 챔버에서 UV 경화된 유전체 재료를 어닐링하는 것과 관련된다. UV 경화된 유전체 재료는 충분한 시간 동안 전형적인 온도에서 어닐링되고, 대략 100℃로 냉각된다. 그러나, RAP는 대부분의 애플리케이션에서 필요로 되지 않을 수 있다.
RAP 공정에 대한 전형적인 동작 조건이 아래에 제시된다.
램프 속도: 15-150℃/sec
웨이퍼 온도: 150-450℃
어닐링 시간: < 120 초
공정 압력: 대기압
분위기: N2
사후-UV 처리는 또한 UV 경화된 유전체 재료를 부가적인 UV조건에 노출시킴으로써 수행될 수 있다. 전형적으로, UV 경화된 유전체 재료는 대략 300 초 또는 그보다 크지 않은 시간 동안, 특히, 대략 60 및 180초 사이에서 UV 처리된다. 또한, UV 처리는 대략 실온 및 대략 450℃ 사이의 온도; 대기압보다 적은, 대기압보다 큰, 또는 대략 대기압과 동일한 공정 압력; 대략 0.1 및 2000 mW/cm2 사이의 UV 전력; 및 대략 100 및 400 nm 사이의 UV 파장 스펙트럼에서 수행될 수 있다. 더구나, UV 경화된 유전체 재료는 N2, Oz, Ar, He, H2, H2O 증기, COz, CxHy, CxFy, CxHzFy, 공기, 및 이들의 조합과 같은 공정 정화 가스로 UV 처리될 수 있고, 여기서, x는 1과 6 사이의 정수이고, y는 4와 14 사이의 정수이며, z는 1과 3 사이의 정수이다.
사용될 수 있는 사후-UV 처리의 다른 유형은 높아진 온도에서 UV 경화된 유전체 재료를 플라즈마 조건에 노출시키는 것과 관련된다. 전형적인 플라즈마-보고 사후-UV 처리에서, O2, N2, H2, Ar, He, CxHy, 불소 -함유 가스, 및 이들의 혼합물과 같은 공정 가스가 상이한 애플리케이션에 사용될 수 있고, 여기서 x는 1과 6 사이의 정수이고, y는 4와 14 사이의 정수이다. 웨이퍼 온도는 대략 실온에서부터 대략 450℃까지의 범위로 제어될 수 있다. 전형적으로, UV 경화된 유전체 재료는 대략 1 토르 및 10 토르 사이의 공정 압력에서 플라즈마 처리된다.
200 mm 및 300 mm 웨이퍼에 대한 전형적인 플라즈마-보조 사후-UV 처리 조건의 예가 아래에 제시된다.
조건 200 mm 시스템 300 mm 시스템
마이크로파 플라즈마 전력:500W - 3000W 500W - 3000W
웨이퍼 온도: 80℃-350℃ 80℃-350℃
공정 압력: 1.0 토르 - 3.0 토르 1.0 토르 - 4.0 토르
플라즈마 처리 시간: < 90 초 < 90 초
공정 가스: H2/N2/CF4/O2/Ar/He/CxHy H2/N2/CF4/O2/Ar/He/CxHy
N2H2 흐름 속도: 0 - 4000 sccm 0 - 10,000 sccm
O2 흐름 속도: 0 - 4000 sccm 0 - 10,000 sccm
CF4 흐름 속도: 0 - 400 sccm 0 - 1000 sccm
Ar 흐름 속도: 0 - 4000 sccm 0 - 10,000 sccm
He 흐름 속도: 0 - 4000 sccm 0 - 10,000 sccm
사후-UV 처리되는, UV 경화된 유전체 재료의 유전 상수는 UV 경화된 유전체 재료에 비하여 감소된다. 사후-UV 처리되는, UV 경화된 유전체 재료의 유전 상수는 전형적으로 대략 1.1 및 3.5 사이이며, 더 전형적으로는, 1.6 및 2.4 사이이다.
UV 경화에 의한 다공성 저-k 막의 전형적인 재료 특성이 아래의 테이블 1에 제시된다.
테이블 1. UV 경화에 의한 다공성 저-k 재료 특성
Figure 112006004753740-PCT00001
UV 경화에 의한 개선된 고밀도 저-k 막의 전형적인 재료 특성이 아래의 테이블 2에 제시된다.
테이블 2. UV 경화에 의한 개선된 고밀도 저-k 재료 특성
Figure 112006004753740-PCT00002
본 발명이 더 용이하게 이해될 수 있도록 하기 위하여, 본 발명을 설명하고자 하는 것이지, 본 발명의 범위를 국한하고자 하는 것이 아닌 다음의 예가 참조된다.
다음의 그래프는 UV 경화의 특성을 나타낸다. 모두 대략 5000의 두께를 가지는 (i) MSQ에 기초한 저-k 막, (ii) HSQ에 기초한 저-k 막, (iii) MSQ/HSQ 혼합된 저-k 막, 및 (iv) 플라즈마 강화된 CVD 증착 SiCOH 저-k 막에 대한 예들이 제공된다.
예 1: MSQ 에 기초한 저-k 막
MSQ에 기초한 저-k 막의 FTIR 스펙트럼은 1280 cm-1 및 3000cm-1 부근의 Si-CH3 특성 대역 뿐만 아니라, 1100 cm-1 부근의 두 개의 Si-O 피크를 특징으로 하다. 이러한 막의 UV 경화는 전형적으로 100% 또는 그 이상의 계수 증가를 발생시키고, Si-CH3 대역의 부분적인 제거, 및 케이지(더 높은 파수) 대 네트워크(더 낮은 파수) Si-O 결합의 비율의 변화에 의해 FTIR 스펙트럼에서 반영된다.
UV 처리는 MSQ에 기초한 다공성 저-k 막을 성공적으로 경화시킬 수 있다. 도1은 MSQ에 기초한 다공성 저-k 막: 열적으로 경화됨(하부), 1분 동안 O2에서 열적으로 +UV 경화됨(중앙), 및 5분 동안 N2에서 열적으로 +UV 경화됨(상부)에 대한 FTIR 스펙트럼을 도시한 것이다. 경화 유효성은 챔버 정화 가스 조성에 매우 의존한다. O2가 N2보다 UV 경화에 더 효율적이라는 것이 관측되었다.
예 2: HSQ 에 기초한 저-k 막
HSQ에 기초한 저-k 막의 FTIR 스펙트럼은 2200cm-1 부근의 Si-H 대역, Si-H 신장 모드로 귀착되는 850cm-1 부근의 대역 및 1100cm-1 부근의 두 개의 Si-O 피크를 특징으로 한다. 이러한 막의 경화는 전형적으로 100% 또는 그 이상의 계수 증가를 발생시키고 Si-H 대역의 완전한 제거, 및 케이지 대 네트워크 Si-O 결합의 변화에 의해 FTIR 스펙트럼에서 반영된다.
UV 처리는 HSQ에 기초한 저-k 막을 성공적으로 경화시킬 수 있다. 도2는 HSQ에 기초한 저-k 막: 경화되지 않음(점선), O2에서 60초 동안 UV 경화됨(파선), 및 N2에서 60초 동안 UV 경화됨(실선)에 대한 FTIR 스펙트럼을 도시한 것이다. 그러나, 경화에 대한 효율은 챔버 정화 가스 조성에 의존한다. O2가 N2보다 UV 경화에 더 효율적이라는 것이 관측되었다.
예 3: HSQ / MSQ 혼합된 저-k 막
HSQ/MSQ 혼합된 저-k 막의 FTIR 스펙트럼은 2200cm-1 부근의 통상적인 Si-H 대역, 850cm-1 부근의 Si-H 신장 모드 대역 및 1100cm-1 부근의 두 개의 Si-O 피크를 특징으로 한다. 게다가, 1280 cm-1 부근의 Si-CH3 특징적인 특성이 존재한다. 이 특정 예의 경우에, 5% MSQ/95% HSQ 혼합된 막이 연구되었다. 이러한 막의 UV 경화는 순수한 HSQ에 기초한 막보다 UV 정화 가스 혼합에 훨씬 더 강한 의존성을 나타낸다. 그럼에도 불구하고, O2 정화된 UV 처리는 저-k 막의 효율적이고 성공적인 경화를 발생시킨다.
도3은 5% MSQ/95% HSQ에 기초한 저-k 막: 경화되지 않음(점선), O2에서 60초 동안 UV 경화됨(파선), 및 N2에서 60초 동안 UV 경화됨(실선)에 대한 FTIR 스펙트럼을 도시한 것이다. 모든 경우에 대하여, UV 경화 공정 동안 전형적으로 발생되는 Si-OH 결합을 제거하기 위하여 그 다음의 또는 아마도 동시의 어닐 단계가 필요로 된다.
예 4: SiCOH CVD 저-k 막
SiCOH CVD 저-k 막의 FTIR 스펙트럼은 1230 cm-1 부근의 Si-CH3 대역, 2950 cm-1 부근의 C-H 대역 뿐만 아니라, 1100 cm-1 부근의 두 개의 Si-O 피크를 특징으로 한다. 이러한 막의 경화는 대략 50% 또는 그 이상의 탄성 계수 및 물질 경도 증가를 발생시키며, 증가된 네트워킹을 나타내는 두 개의 Si-O 대역의 비율의 변화에 의해 FTIR 스펙트럼에서 반영된다. Si-CH3 및 C-H 대역에서 어떤 감소가 전형적으로 나타날지라도, 이러한 특성 대역의 감소는 감소되거나 제거되는 것이 바람직하다. UV 처리는 SiCOH CVD 저-k 막을 성공적으로 경화시킬 수 있다. 도4는 CVD 저-k 막:경화되지 않음(실선) 및 He에서 120초 동안 UV 경화됨(점선)에 대한 FTIR 스펙트럼을 도시한 것이다. UV 경화는 HL 벌브, 공정 챔버의 대기압에서의 He 정화, 및 대략 380℃의 웨이퍼 온도로 행해진다.
본 발명을 설명하기 위하여 일부의 대표적인 실시예 및 세부항목이 제시되었지만, 본원에 개시된 조성 및 방법의 다양한 변화가 본 발명의 범위를 벗어나지 않고 행해질 수 있다는 것을 당업자들은 명백하게 인식할 것이다. 따라서, 본 발명은 개시된 실시예에 국한되는 것이 아니라, 다음의 청구항의 언어에 의해 허용된 전체 범위를 갖는 것으로 의도된다.

Claims (66)

  1. UV 경화된 재료를 제조하는 공정으로서:
    제1 유전 상수, 제1 탄성 계수, 및 제1 재료 경도를 가지는 유전체 재료를 제공하는 단계; 및
    상기 제1 유전 상수의 대략 ±20% 내에 있는 제2 유전 상수, 상기 제1 탄성 계수보다 큰 제2 탄성 계수, 및 상기 제1 재료 경도보다 큰 제2 재료 경도를 가지는 UV 경화된 유전체 재료를 제조하기 위하여 상기 유전체 재료를 UV 경화하는 단계를 포함하는 UV 경화된 재료 제조 공정.
  2. 제 1 항에 있어서,
    상기 유전체 재료는 유기 재료, 무기 재료, 또는 이들의 조합으로부터 선택되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  3. 제 1 항에 있어서,
    상기 유전체 재료는 수소 실세스퀴옥산(HSQ) 유전체 재료, 메틸실세스퀴옥산(MSQ) 유전체 재료, 탄소 도핑된 산화물(CDO) 유전체 재료, 수소화된 실리콘 옥시-탄화물(SiCOH) 유전체 재료, 벤조시클로부텐(BCB) 유전체 재료, 아릴시클로부텐-기 유전체 재료, 폴리페닐렌-기 유전체 재료, 및 이들의 조합으로부터 선택되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  4. 제 1 항에 있어서,
    상기 유전체 재료는 스핀-온 공정, 화학적 기상 증착 공정, 플라즈마 보조 화학적 기상 증착 공정, 기화 공정, 반응성 기화 공정, 또는 자기-조립된 증착 공정을 사용하여 기판상에 유전체 코팅을 증착함으로써 제조되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  5. 제 1 항에 있어서,
    상기 유전체 재료는 고밀도 유전체 재료, 포로젠-발생 다공성 유전체 재료, 용매-형성 다공성 유전체 재료, 또는 분자 엔지니어링 다공성 유전체 재료, 또는 이들의 조합으로부터 선택되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  6. 제 1 항에 있어서,
    상기 유전체 재료는 대략 300 초 또는 그보다 많지 않은 시간 동안 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  7. 제 1 항에 있어서,
    상기 유전체 재료는 대략 60 및 180 초 사이의 시간 동안 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  8. 제 1 항에 있어서,
    상기 유전체 재료는 UV 경화 동안 대략 80℃ 또는 그보다 큰 웨이퍼 온도를 가지는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  9. 제 1 항에 있어서,
    상기 유전체 재료는 UV 경화 동안 대략 실온 및 450℃ 사이에 있는 웨이퍼 온도를 가지는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  10. 제 1 항에 있어서,
    상기 유전체 재료는 대기압보다 작거나 대략 동일한 공정 압력에서 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  11. 제 1 항에 있어서,
    상기 유전체 재료는 대기압보다 크거나 대략 동일한 공정 압력으로 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  12. 제 1 항에 있어서,
    상기 유전체 재료는 대략 0.1 및 2000 mW/cm2 사이의 UV 압력으로 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  13. 제 1 항에 있어서,
    상기 유전체 재료는 공정 정화 가스로 UV 경화되며, 상기 정화 가스는 N2, Oz, Ar, He, H2, H2O 증기, COz, CxHy, CxFy, CxHzFy, 공기, 및 이들의 조합으로부터 선택되고, 여기서, x는 1과 6 사이의 정수이고, y는 4와 14 사이의 정수이며, z는 1과 3 사이의 정수인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  14. 제 1 항에 있어서,
    상기 유전체 재료는 대략 100nm 및 400nm 사이의 UV 파장을 사용하여 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  15. 제 1 항에 있어서,
    상기 유전체 재료의 제1 탄성 계수 및 상기 UV 경화된 유전체 재료의 제2 탄성 계수 사이의 탄성 계수의 증가는 대략 50% 또는 그보다 큰 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  16. 제 1 항에 있어서,
    상기 UV 경화된 유전체 재료의 제2 탄성 계수는 대략 2.5 GPa 또는 그보다 큰 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  17. 제 1 항에 있어서,
    상기 UV 경화된 유전체 재료의 제2 탄성 계수는 대략 4 GPa 및 12 GPa 사이인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  18. 제 1 항에 있어서,
    상기 유전체 재료의 제1 재료 경도 및 상기 UV 경화된 유전체 재료의 제2 재료 경도 사이의 재료 경도의 증가는 대략 50% 또는 그보다 큰 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  19. 제 1 항에 있어서,
    상기 UV 경화된 유전체 재료의 제2 재료 경도는 대략 0.25 GPa 또는 그보다 큰 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  20. 제 1 항에 있어서,
    상기 UV 경화된 유전체 재료의 제2 재료 경도는 대략 0.25 GPa 및 1.2 GPa 사이인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  21. 제 1 항에 있어서,
    상기 제2 유전 상수보다 적은 제3 유전 상수, 상기 제2 탄성 계수의 대략 ± 20% 내에 있거나 그보다 큰 제3 탄성 계수, 및 상기 제2 재료 경도의 대략 ±20% 내에 있거나 그보다 큰 제3 재료 경도를 가지는 사후-UV 처리되는 UV 경화된 유전체를 제공하기 위하여 상기 UV 경화된 유전체 재료를 사후-UV 처리하는 단계를 더 포함하는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  22. 제 21 항에 있어서,
    상기 사후-UV 처리되는 UV 경화된 유전체 재료의 제3 유전 상수는 대략 1.1 및 3.5 사이인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  23. 제 21 항에 있어서,
    상기 사후-UV 처리되는 UV 경화된 유전체 재료의 제3 유전체 상수는 대략 1.6 및 2.4 사이인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  24. 제 21 항에 있어서,
    상기 사후-UV 처리는 열적 어닐링인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  25. 제 24 항에 있어서,
    상기 UV 경화된 유전체 재료는 대략 450℃ 또는 그보다 낮은 온도로 어닐링되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  26. 제 24 항에 있어서,
    상기 UV 경화된 유전체 재료는 대략 150 및 450℃ 사이의 온도로 어닐링되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  27. 제 24 항에 있어서,
    상기 UV 경화된 유전체 재료는 대략 60분 또는 그보다 많은 시간 동안 어닐링되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  28. 제 21 항에 있어서,
    상기 사후-UV 처리는 높아진 온도에서 상기 UV 경화된 유전체 재료를 플라즈마 조건에 노출시키는 것에 의한 플라즈마 처리인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  29. 제 28 항에 있어서,
    상기 UV 경화된 유전체 재료는 대략 500 및 3000W 사이의 플라즈마 전력으로 플라즈마 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  30. 제 28 항에 있어서,
    상기 UV 경화된 유전체 재료는 대략 100 및 450℃ 사이의 온도로 플라즈마 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  31. 제 28 항에 있어서,
    상기 UV 경화된 유전체 재료는 대략 90 초 또는 그보다 많은 시간 동안 플라즈마 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  32. 제 28 항에 있어서,
    상기 UV 경화된 유전체 재료는 대략 1 토르 및 10 토르 사이의 압력으로 플라즈마 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  33. 제 28 항에 있어서,
    상기 UV 경화된 유전체 재료는 플라즈마 가스로 플라즈마 처리되며, 상기 플라즈마 가스는 N2, O2, Ar, He, H2, CxHy, 불소 -함유 가스, 또는 이들의 조합으로부터 선택되며, 여기서 x는 1과 6 사이의 정수이고, y는 1과 14 사이의 정수인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  34. 제 21 항에 있어서,
    상기 사후-UV 처리는 상기 UV 경화된 유전체 재료는 UV 조건에 노출시키는 것에 의한 UV 처리인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  35. 제 34 항에 있어서,
    상기 UV경화된 유전체 재료는 대략 300초 또는 그보다 많은 시간 동안 UV 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  36. 제 34 항에 있어서,
    상기 UV 경화된 유전체 재료는 대략 60 및 180초 사이의 시간 동안 UV 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  37. 제 34 항에 있어서,
    상기 UV 처리된 유전체 재료는 대략 실온 및 450℃ 사이의 온도로 UV 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  38. 제 34 항에 있어서,
    상기 UV 경화된 유전체 재료는 대기압보다 낮거나 대략 동일한 공정 압력으로 UV 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  39. 제 34 항에 있어서,
    상기 UV 경화된 유전체 재료는 대기압보다 크거나 대략 동일한 공정 압력으로 UV 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  40. 제 34 항에 있어서,
    상기 UV 경화된 유전체 재료는 대략 0.1 및 2000 mW/cm2 사이의 UV 전력으로 UV 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  41. 제 34 항에 있어서,
    상기 UV 경화된 유전체 재료는 공정 정화 가스로 UV 처리되며, 상기 정화 가스는 N2, Oz, Ar, He, H2, H2O 증기, COz, CxHy, CxFy, CxHzFy, 공기, 및 이들의 조합으로부터 선택되며, 여기서 x는 1과 6 사이의 정수이고, y는 4와 14 사이의 정수이며, z는 1과 3 사이의 정수인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  42. 제 34 항에 있어서,
    상기 UV 경화된 유전체 재료는 대략 100nm 및 400nm 사이의 UV 파장 스펙트럼을 사용하여 UV 처리되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  43. 제 1 항에 있어서,
    상기 UV 경화는 진공 조건 또는 산소 또는 산화 가스의 존재 없는 조건에서 발생하는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  44. 제 1 항에 따른 UV 경화된 재료 제조 공정에 의해 준비된 UV 경화된 유전체 재료.
  45. 제 21 항에 따른 UV 경화된 재료 제조 공정에 의해 준비된 사후-UV 처리되는 UV 경화된 유전체 재료.
  46. 제 1 항에 따른 UV 경화된 재료 제조 공정에 의해 준비된 UV 경화된 유전체 재료를 포함하는 전자 장치.
  47. 제 21 항에 따른 UV 경화된 재료 제조 공정에 의해 준비된 사후-UV 처리되는 UV 경화된 유전체 재료를 포함하는 전자 장치.
  48. 제 1 항에 따른 UV 경화된 재료 제조 공정에 의해 준비된 UV 경화된 코팅을 가지는 기판.
  49. 제 21 항에 따른 UV 경화된 재료 제조 공정에 의해 준비된 사후-UV 처리되는 UV 경화된 코팅을 가지는 기판.
  50. 제 1 항에 있어서,
    상기 유전체 재료를 공정 챔버 내로 위치시키는 단계;
    실내 공기를 제거하기 위하여 상기 공정 챔버를 배기하거나 정화하는 단계;
    공정 가스를 상기 공정 챔버에 제공하는 단계;
    상기 유전체 재료를 대략 450℃ 또는 그보다 낮은 공정 온도로 가열하는 단계; 및
    대략 100 및 400nm 사이의 영역 내의 파장을 갖는 UV 방사선에 상기 유전체 노출시키는 단계를 더 포함하는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  51. 제 50 항에 있어서,
    상기 UV 방사선은 구동된 마이크로파, 아크 방전 광원, 유전체 배리어 방전 광원, 또는 발생된 전자 충돌 광원으로부터 선택된 UV 광원에 의해 제공되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  52. 제 50 항에 있어서,
    상기 유전체 재료는 적외선 광원, 광학 광원, 뜨거운 표면, 또는 UV 광원으로부터 선택된 열원에 의해 가열되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  53. 대략 1.1 및 3.5 사이의 유전 상수, UV 경화되지 않은 유전체 재료보다 대략 50 %보다 큰 탄성 계수, 및 UV 경화되지 않은 유전체 재료보다 대략 50%보다 큰 재료 경도를 가지는 UV 경화된 유전체 재료.
  54. 대략 2.0 및 2.9 사이의 유전 상수, UV 경화되지 않은 유전체 재료보다 대략 50 %보다 큰 탄성 계수, 및 UV 경화되지 않은 유전체 재료보다 대략 50%보다 큰 재료 경도를 가지는 UV 경화된 유전체 재료.
  55. UV 경화된 재료를 제조하는 공정으로서:
    경화되지 않은 개선된 저-k 유전체 재료를 제공하는 단계; 및
    UV 경화된 유전체 재료를 제조하기 위하여 대략 5분보다 길지 않은 시간, 또는 대략 450℃보다 높지 않은 온도로 상기 유전체 재료를 UV 경화하는 단계를 포함하며,
    여기서, UV 경화의 전체 열 예산은 퍼니스 경화 공정에 비하여 대략 50% 보다 많이 감소되는 UV 경화된 재료 제조 공정.
  56. 제 55 항에 있어서,
    상기 유전체 재료는 유기 재료, 무기 재료, 또는 이들의 조합으로부터 선택되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  57. 제 55 항에 있어서,
    수소 실세스퀴옥산(HSQ) 유전체 재료, 메틸실세스퀴옥산(MSQ) 유전체 재료, 탄소 도핑된 산화물(CDO) 유전체 재료, 수소화된 실리콘 옥시-탄화물(SiCOH) 유전 체 재료, 벤조시클로부텐(BCB) 유전체 재료, 아릴시클로부텐-기 유전체 재료, 폴리페닐렌-기 유전체 재료, 및 이들의 조합으로부터 선택되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  58. 제 55 항에 있어서,
    상기 유전체 재료는 스핀-온 공정, 화학적 기상 증착 공정, 플라즈마 보조 화학적 기상 증착 공정, 기화 공정, 반응성 기화 공정, 또는 자기-조립된 증착 공정을 사용하여 기판상에 유전체 코팅을 증착함으로써 제조되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  59. 제 55 항에 있어서,
    상기 유전체 재료는 고밀도 유전체 재료, 포로젠-발생 다공성 유전체 재료, 용매-형성 다공성 유전체 재료, 또는 분자 엔지니어링 다공성 유전체 재료, 또는 이들의 조합으로부터 선택되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  60. 제 55 항에 있어서,
    상기 유전체 재료는 대략 60 및 180 초 사이의 시간 동안 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  61. 제 55 항에 있어서,
    상기 유전체 재료는 UV 경화 동안 대략 실온 및 450℃ 사이에 있는 웨이퍼 온도를 가지는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  62. 제 55 항에 있어서,
    상기 유전체 재료는 대기압보다 작거나 대략 동일한 공정 압력으로 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  63. 제 55 항에 있어서,
    상기 유전체 재료는 대기압보다 크거나 대략 동일한 공정 압력으로 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  64. 제 55 항에 있어서,
    상기 유전체 재료는 대략 0.1 및 2000 mW/cm2 사이의 UV 압력으로 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  65. 제 55 항에 있어서,
    상기 유전체 재료는 공정 정화 가스로 UV 경화되며, 상기 정화 가스는 N2, Oz, Ar, He, H2, H2O 증기, COz, CxHy, CxFy, CxHzFy, 공기, 및 이들의 조합으로부터 선택되고, 여기서, x는 1과 6 사이의 정수이고, y는 4와 14 사이의 정수이며, z는 1과 3 사이의 정수인 것을 특징으로 하는 UV 경화된 재료 제조 공정.
  66. 제 55 항에 있어서,
    상기 유전체 재료는 대략 100nm 및 400nm 사이의 UV 파장을 사용하여 UV 경화되는 것을 특징으로 하는 UV 경화된 재료 제조 공정.
KR1020067001476A 2003-07-21 2004-06-07 개선된 저-k 재료에 대한 자외선 경화 공정 KR20060039923A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/623,729 US6756085B2 (en) 2001-09-14 2003-07-21 Ultraviolet curing processes for advanced low-k materials
US10/623,729 2003-07-21

Publications (1)

Publication Number Publication Date
KR20060039923A true KR20060039923A (ko) 2006-05-09

Family

ID=34103209

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067001476A KR20060039923A (ko) 2003-07-21 2004-06-07 개선된 저-k 재료에 대한 자외선 경화 공정

Country Status (7)

Country Link
US (1) US6756085B2 (ko)
EP (1) EP1649504A2 (ko)
JP (1) JP2006528426A (ko)
KR (1) KR20060039923A (ko)
CN (1) CN1852772A (ko)
TW (1) TW200504131A (ko)
WO (1) WO2005010971A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8524615B2 (en) 2010-09-30 2013-09-03 Samsung Electronics Co., Ltd. Method of forming hardened porous dielectric layer and method of fabricating semiconductor device having hardened porous dielectric layer
KR20170097593A (ko) * 2014-10-29 2017-08-28 어플라이드 머티어리얼스, 인코포레이티드 유동성 막 경화 침투 깊이 개선 및 응력 튜닝

Families Citing this family (456)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7235192B2 (en) 1999-12-01 2007-06-26 General Electric Company Capped poly(arylene ether) composition and method
US7011868B2 (en) * 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
DE10343411B4 (de) * 2003-09-19 2009-07-23 Gallus Druckmaschinen Gmbh Rotationsdruckmaschine und Verfahren zum freien Zugänglichmachen eines Druckzylinders oder eines Linearführungs-Zylinders
US7148296B2 (en) * 2003-10-03 2006-12-12 General Electric Company Capped poly(arylene ether) composition and process
JP2005133060A (ja) * 2003-10-29 2005-05-26 Rohm & Haas Electronic Materials Llc 多孔性材料
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7094713B1 (en) * 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
WO2005100891A1 (ja) * 2004-04-12 2005-10-27 Kitakyushu Foundation For The Advancement Of Industry, Science And Technology マイクロ波を用いた減圧乾燥方法及びその装置
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
KR101140535B1 (ko) * 2004-05-11 2012-05-02 제이에스알 가부시끼가이샤 유기 실리카계 막의 형성 방법, 유기 실리카계 막, 배선구조체, 반도체 장치 및 막 형성용 조성물
JP5110239B2 (ja) * 2004-05-11 2012-12-26 Jsr株式会社 有機シリカ系膜の形成方法、膜形成用組成物
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7329708B2 (en) * 2004-08-18 2008-02-12 General Electric Company Functionalized poly(arylene ether) composition and method
US7338575B2 (en) * 2004-09-10 2008-03-04 Axcelis Technologies, Inc. Hydrocarbon dielectric heat transfer fluids for microwave plasma generators
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
TWI270965B (en) * 2004-10-14 2007-01-11 Advanced Semiconductor Eng Manufacturing method of passivation layer on wafer and manufacturing method of bumps on wafer
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US20060125102A1 (en) * 2004-12-15 2006-06-15 Zhen-Cheng Wu Back end of line integration scheme
US20060165904A1 (en) * 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
WO2006102926A1 (en) * 2005-03-31 2006-10-05 Freescale Semiconductor, Inc. Semiconductor wafer with low-k dielectric layer and process for fabrication thereof
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) * 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
EP1941539A1 (en) * 2005-06-03 2008-07-09 Axcelis Technologies, Inc. Ultraviolet curing process for low k dielectric films
CN101194344A (zh) * 2005-06-09 2008-06-04 艾克塞利斯技术公司 用于预金属和/或浅槽隔离应用中所用的旋涂介电材料的uv固化方法
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
JP4563894B2 (ja) * 2005-08-19 2010-10-13 富士通株式会社 シリカ系被膜の製造方法および半導体装置の製造方法
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US7416990B2 (en) * 2005-12-20 2008-08-26 Dongbu Electronics Co., Ltd. Method for patterning low dielectric layer of semiconductor device
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7482265B2 (en) * 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US8083833B2 (en) * 2006-03-10 2011-12-27 Uop Llc Flexible template-directed microporous partially pyrolyzed polymeric membranes
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
SG136078A1 (en) * 2006-03-17 2007-10-29 Applied Materials Inc Uv cure system
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
JPWO2008018419A1 (ja) * 2006-08-11 2009-12-24 塩谷 喜美 半導体製造装置及び半導体製造方法
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20080071034A1 (en) * 2006-09-15 2008-03-20 Christina Louise Braidwood Poly(arylene ether) composition and method
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20080220619A1 (en) * 2007-03-09 2008-09-11 Asm Japan K.K. Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
EP2164648B8 (en) * 2007-06-15 2016-11-09 SBA Materials, Inc. Low k dielectric
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20090093134A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K Semiconductor manufacturing apparatus and method for curing materials with uv light
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US20090277871A1 (en) * 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
DE112009000518T5 (de) * 2008-03-06 2011-05-05 Tokyo Electron Ltd. Verfahren zum Aushärten eines porösen dielektrischen Films mit niedriger Dielektrizitätskonstante
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5565314B2 (ja) * 2008-12-08 2014-08-06 富士通株式会社 半導体装置の製造方法及びその製造装置
US20100151206A1 (en) * 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
JP5864095B2 (ja) * 2010-02-18 2016-02-17 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
JP5976776B2 (ja) 2011-04-08 2016-08-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uv処理、化学処理、および堆積のための装置および方法
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102386128A (zh) * 2011-07-25 2012-03-21 复旦大学 一种修饰SiCOH薄膜微结构的方法
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TW201348496A (zh) * 2012-02-15 2013-12-01 Renesas Electronics Corp 多孔性絕緣膜的製造方法以及包含該膜的半導體裝置
US9287154B2 (en) 2012-06-01 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing system for semiconductors
US8753449B2 (en) 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103295881B (zh) * 2013-06-04 2016-08-31 上海华力微电子有限公司 去除硅片表面低介电材料的方法
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP2876099B1 (en) 2013-11-21 2017-11-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Norbornadiene purification method
EP2876098B1 (en) 2013-11-21 2016-07-13 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Extractive distillation in a norbornadiene purification method
TW201535513A (zh) * 2014-02-18 2015-09-16 Applied Materials Inc 介電常數減少且機械性質強化的低k介電層
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160042943A1 (en) * 2014-08-07 2016-02-11 Lam Research Corporation Low-k dielectric film formation
CN104209254B (zh) * 2014-08-15 2016-05-11 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3275014B1 (en) * 2015-03-27 2020-12-09 Hewlett-Packard Development Company, L.P. Circuit package
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105742165A (zh) * 2016-02-26 2016-07-06 上海华力微电子有限公司 半导体晶圆紫外光固化方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102271768B1 (ko) 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN117524976A (zh) 2017-05-13 2024-02-06 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10535550B2 (en) 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11450526B2 (en) * 2018-05-30 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic spin-on coating process for forming dielectric material
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115179469B (zh) * 2022-07-21 2023-06-23 江苏邑文微电子科技有限公司 一种应用于半导体器件的聚酰亚胺烘箱固化工艺转化方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
JPS59178749A (ja) 1983-03-30 1984-10-11 Fujitsu Ltd 配線構造体
JPS6086017A (ja) 1983-10-17 1985-05-15 Fujitsu Ltd ポリハイドロジエンシルセスキオキサンの製法
US4636440A (en) 1985-10-28 1987-01-13 Manville Corporation Novel process for coating substrates with glass-like films and coated substrates
JPS62149308A (ja) 1985-12-20 1987-07-03 Mitsubishi Paper Mills Ltd 複合膜の製造方法
JPS63107122A (ja) 1986-10-24 1988-05-12 Fujitsu Ltd 凹凸基板の平坦化方法
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4749631B1 (en) 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
US4808653A (en) 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4847162A (en) 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4842888A (en) 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
DE3834088A1 (de) * 1988-10-07 1990-04-12 Basf Ag Verfahren zum herstellen von homopolymerisaten des ethens sowie copolymerisaten des ethens mit hoeheren (alpha)-monoolefinen mittels eines ziegler-katalysatorsystems
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
JPH0832304B2 (ja) 1989-08-18 1996-03-29 株式会社日立製作所 無機ポリマ薄膜の形成方法
US5010159A (en) 1989-09-01 1991-04-23 Dow Corning Corporation Process for the synthesis of soluble, condensed hydridosilicon resins containing low levels of silanol
US5210601A (en) 1989-10-31 1993-05-11 Kabushiki Kaisha Toshiba Compression contacted semiconductor device and method for making of the same
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5116637A (en) 1990-06-04 1992-05-26 Dow Corning Corporation Amine catalysts for the low temperature conversion of silica precursors to silica
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
JP2795002B2 (ja) 1991-09-19 1998-09-10 日本電気株式会社 HgCdTe薄膜の製造方法
JP3153367B2 (ja) 1992-11-24 2001-04-09 ダウ・コ−ニング・コ−ポレ−ション ポリハイドロジェンシルセスキオキサンの分子量分別方法
US5441765A (en) 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5855953A (en) 1994-03-31 1999-01-05 The Regents, University Of California Aerogel composites and method of manufacture
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5618878A (en) 1995-04-07 1997-04-08 Dow Corning Corporation Hydrogen silsesquioxane resin coating composition
AU6973296A (en) 1995-09-12 1997-04-01 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US5935646A (en) 1996-08-23 1999-08-10 Gas Research Institute Molecular sieving silica membrane fabrication process
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6303192B1 (en) 1998-07-22 2001-10-16 Philips Semiconductor Inc. Process to improve adhesion of PECVD cap layers in integrated circuits
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6143955A (en) * 1999-01-29 2000-11-07 Pioneer Hi-Bred International, Inc. Soybean variety 93B07
US6558747B2 (en) 1999-09-29 2003-05-06 Kabushiki Kaisha Toshiba Method of forming insulating film and process for producing semiconductor device
US6156743A (en) 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6359096B1 (en) 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6313045B1 (en) 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6143360A (en) 1999-12-13 2000-11-07 Dow Corning Corporation Method for making nanoporous silicone resins from alkylydridosiloxane resins
US6475930B1 (en) * 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8524615B2 (en) 2010-09-30 2013-09-03 Samsung Electronics Co., Ltd. Method of forming hardened porous dielectric layer and method of fabricating semiconductor device having hardened porous dielectric layer
KR20170097593A (ko) * 2014-10-29 2017-08-28 어플라이드 머티어리얼스, 인코포레이티드 유동성 막 경화 침투 깊이 개선 및 응력 튜닝

Also Published As

Publication number Publication date
CN1852772A (zh) 2006-10-25
WO2005010971A2 (en) 2005-02-03
WO2005010971A3 (en) 2005-04-28
US6756085B2 (en) 2004-06-29
EP1649504A2 (en) 2006-04-26
TW200504131A (en) 2005-02-01
US20040018319A1 (en) 2004-01-29
JP2006528426A (ja) 2006-12-14

Similar Documents

Publication Publication Date Title
US6756085B2 (en) Ultraviolet curing processes for advanced low-k materials
JP4374567B2 (ja) 多孔性低誘電率材料のための紫外線硬化処理
US20040058090A1 (en) Low temperature UV pretreating of porous low-k materials
US8338315B2 (en) Processes for curing silicon based low-k dielectric materials
US6913796B2 (en) Plasma curing process for porous low-k materials
US6759133B2 (en) High modulus, low dielectric constant coatings
KR102291889B1 (ko) 유기아미노실란 어닐링을 이용한 SiOCH 막의 형성 방법
US6558755B2 (en) Plasma curing process for porous silica thin film
US7098149B2 (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6559071B2 (en) Process for producing dielectric thin films
JP5065054B2 (ja) 制御された二軸応力を有する超低誘電率膜および該作製方法
TW200413559A (en) Non-thermal process for forming porous low dielectric constant films
TW200428494A (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2008527757A5 (ko)
US20030157267A1 (en) Fluorine-free plasma curing process for porous low-k materials
KR20090113389A (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
US6737117B2 (en) Hydrosilsesquioxane resin compositions having improved thin film properties
KR100935620B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid