KR20050040709A - 이중 음극 주파수 혼합을 사용한 플라즈마 제어 - Google Patents

이중 음극 주파수 혼합을 사용한 플라즈마 제어 Download PDF

Info

Publication number
KR20050040709A
KR20050040709A KR1020040082295A KR20040082295A KR20050040709A KR 20050040709 A KR20050040709 A KR 20050040709A KR 1020040082295 A KR1020040082295 A KR 1020040082295A KR 20040082295 A KR20040082295 A KR 20040082295A KR 20050040709 A KR20050040709 A KR 20050040709A
Authority
KR
South Korea
Prior art keywords
plasma
signals
electrode
sheath
signal
Prior art date
Application number
KR1020040082295A
Other languages
English (en)
Other versions
KR101115439B1 (ko
Inventor
스티븐 시. 샤논
데니스 에스. 그리마드
테오도로스 파나고폴로스
다니엘 제이. 호프만
마이클 지. 차핀
트로이 에스. 테트릭
알렉산더 패터슨
징바오 리우
신태호
브라이언 와이. 푸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=38992494&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20050040709(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050040709A publication Critical patent/KR20050040709A/ko
Application granted granted Critical
Publication of KR101115439B1 publication Critical patent/KR101115439B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

이중 주파수 RF 소오스를 사용하여 반도체 기판 공정 챔버 내에서 플라즈마의 특성들을 제어하기 위한 방법 및 장치가 제공된다. 이 방법은 공정 챔버 내에 배치된 제1 전극에 제1 RF 신호를 공급하고, 위 제1 전극에 제2 RF 신호를 공급하는 것을 포함하되, 제1 및 제2 RF 신호들 사이의 상호작용이 공정 챔버 내에 형성된 플라즈마의 적어도 하나의 특성을 제어하기 위해 사용된다.

Description

이중 음극 주파수 혼합을 사용한 플라즈마 제어{Plasma control using dual cathode frequency mixing}
본 발명은 반도체 기판 공정 시스템 내의 플라즈마 제어에 관한 것으로, 더욱 상세하게는 이중 음극(cathode) 주파수 혼합 기술을 사용한 플라즈마 제어에 관한 것이다.
플라즈마 강화 반도체 공정 챔버의 크기 증가는 가공되는 기판 표면에 대해 공간적으로 변하는 효과(spatially variant effect)를 유발한다. 즉, 가공되는 기판의 크기가 기판을 바이어싱하는 데 사용되는 주파수의 파장에 근접함에 따라, RF 파워 분포에 있어서 공간적 변화가 기판 상부에(예컨대 전극을 형성하는 음극 근처에) 형성된다. 이 공간적 변화는 고주파(더 짧은 파장들) 영역, 더 큰 기판들, 또는 이들 둘의 조합들에서 주파수 의존적일 수 있다. 이 공간적으로 변하는 효과는 공정 균일성(uniformity)에 부정적인 영향을 미친다. 예를 들어, 식각 반응기에 있어서, 정상파 효과(standing wave effect)는 식각된 기판 표면에 불균일성을 유발할 수 있다.
그러므로, 이 공간적으로 변하는 효과를 방지하는 반도체 기판 공정을 위한 개선된 방법 및 장치에 대한 요구가 있다.
본 발명이 이루고자 하는 기술적 과제는, 반도체 기판 공정 챔버 내에서 공간적으로 변하는 효과를 방지할 수 있는 개선된 플라즈마 제어 방법 및 장치를 제공하는 것이다.
본 발명은 플라즈마 강화 반도체 기판 공정 챔버 내에서 플라즈마의 특성들을 제어하기 위한 방법 및 장치이다. 상기 방법은 공정 챔버 내에 배치된 제1 전극에 제1 RF 신호를 공급하고, 상기 제1 전극에 제2 RF 신호를 공급하는 것을 포함하되, 상기 제1 및 제2 RF 신호들 사이의 상호작용이 상기 공정 챔버 내에 형성된 플라즈마의 적어도 하나의 특성을 제어하는 데 사용된다.
위에 언급된 본 발명의 특징들, 장점들 및 목적들이 달성되고 상세히 이해될 수 있는 방식, 위에 간략하게 요약된 본 발명의 더욱 상세한 설명이 첨부된 도면들에 도시된 실시예들을 참조하여 제공될 수 있다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시하며, 따라서 본 발명은 다른 동등하게 효과적인 실시예들을 허용할 수 있기 때문에 발명의 범위를 제한하는 것으로 간주될 수 없다.
이해를 돕기 위해, 가능한 한, 동일한 참조 번호들이 도면들에 공통인 동일한 구성 요소들을 나타내기 위해 사용된다.
본 발명은 일반적으로는 플라즈마 강화 공정 챔버들 내의 반도체 기판 가공에 관한 것이다. 더욱 상세하게는, 본 발명은 플라즈마 강화 공정 챔버 내에 형성된 플라즈마의 특성들을 제어하기 위한 방법 및 장치이다. 제어될 수 있는 플라즈마의 특성들은 플라즈마 내 파워 분포와, 플라즈마 내 및 대상물에 입사되는 이온 에너지 분포를 포함하나, 이들에 제한되지 않는다. 이들 플라즈마 특성들은 플라즈마 강화 공정 챔버 내, 비율 조절이 가능한, 이중 주파수 음극을 통해 제어될 수 있다.
본 발명으로부터 이익을 받을 수 있는 플라즈마 강화 공정 챔버들의 예는 eMAX™, MXP??, 및 ENABLER™ 공정 챔버들을 포함하며, 모두 미국 캘리포니아주 산타 클라라의 어플라이드 머티어리얼즈사(Applied Materials, Inc.)로부터 입수 가능하다. 상기 eMAX™ 공정 챔버는 2000년 9월 5일에 등록된 미국특허 제6,113,731호에 샨 등(Shan et al.)에 의해 개시되어 있다. 상기 MXP?? 공정 챔버는 1996년 7월 9일에 등록된 미국특허 제5,534,108호에 퀴안 등(Qian et al.)에 의해, 그리고 1997년 10월 7일에 등록된 미국특허 제5,674,321호에 푸 등(Pu et al.)에 의해 개시되어 있다. 상기 ENABLER™ 공정 챔버는 2002년 7월 9일에 출원된 미국 특허 출원 시리얼 번호 제10/192,271호에 개시되어 있다. 위에 언급된 특허들 각각은 여기서 그 내용 전부가 참조문헌으로 포함된다.
도 1은 본 발명을 실시하기에 유용한 플라즈마 강화 공정 챔버(100)의 개략적인 부분단면도를 나타낸다. 일 실시예에서, 공정 챔버(100)는 접지된 챔버 본체(102) 및 상기 챔버 본체(102) 외부에 가까이 배치된 적어도 하나의 코일 부분(segment, 106)을 포함한다. 공정 챔버(100)는 또한 챔버 본체(102) 내에 배치되고 가스 입구(132)에서 이격된 웨이퍼 지지 페데스탈(116)을 포함한다. 웨이퍼 지지 페데스탈(116)은 음극(127) 및 기판(114)을 상기 가스 입구(132) 아래에 유지하기 위한 정전기 척(chuck, 126)을 포함한다.
정전기 척(126)은 DC 파워 서플라이(120)에 의해 구동되어 상기 기판을 상기 척 표면 상에 유지하는 정전기력을 발생시킨다. 음극(127)은 매칭 네트워크(124)를 통해 한 쌍의 RF 바이어스 소오스들(122, 123)에 결합된다. 일반적으로, 바이어스 소오스들(122, 123)은 약 50 kHz 내지 약 100 MHz의 주파수를 갖는 RF 신호 및 약 0 및 10,000 와트 사이의 파워를 발생시킬 수 있다. 매칭 네트워크(124)는 상기 소오스들(122, 123)의 임피던스를 플라즈마 임피던스에 일치시킨다. 단일의 전송장치(feed)가 양 소오스들(122, 123)로 부터 출력된 에너지를 상기 지지 페데스탈(116)에 결합시킨다. 선택적으로, 각 소오스(122, 123)는 분리된 전송장치를 통해 음극(127)에 결합될 수 있다.
가스 입구(132)는 하나 이상의 노즐들 또는 샤워헤드를 포함할 수 있다. 가스 소오스(108)로부터 공급되고, 점화시 플라즈마(110)를 형성하는 다양한 가스들이 특정 가스 분산 기울기(gradient)를 사용하여 챔버 본체(102)에 공급될 수 있도록, 가스 입구(132)는 복수개의 가스 분산 영역들을 포함할 수 있다. 가스 입구(132)는 상기 지지 페데스탈(116)에 대향하는 상부 전극(128)을 형성할 수 있다. 상부 전극(128)은 특정 임피던스에 종단되거나 접지된 RF 소오스(118)에 매칭 네트워크(119)를 통해 결합될 수 있다. 일반적으로, 상기 소오스(118)는 약 10 MHz 내지 약 3 GHz 범위 내의 주파수를 갖는 RF 신호 및 약 0 및 10,000 와트 사이의 파워를 발생시킬 수 있다. 일 실시예에서, 상기 소오스(118)는 약 60 MHz의 주파수를 갖는 RF 신호를 발생시킬 수 있다. 상기 소오스에서 공급되는 RF 에너지는 상기 플라즈마 내의 가스들을 쉽게 해리 및 이온화하기 위해 일반적으로 사용된다.
동작시, 기판(114)은 공정 챔버(100) 내에 배치되고, 정전기 척(126)에 의해 상기 지지 페데스탈(116)에 유지된다. 공정 가스가 가스 소오스(108)에 의해 가스 입구(132)를 통해 챔버 본체(102)로 공급된다. 진공 펌프(도시하지 않음)가 챔버 본체(102) 내 압력을 동작 압력, 전형적으로 약 10 mTorr 내지 약 20 Torr에 유지한다.
일 실시예에 있어서, RF 소오스(118)는 13.56 MHz에서 약 1000 와트의 RF 전압을 상부 전극(128)에 공급하며, 그것에 의해 챔버 본체(102) 내 가스를 여기시키고 플라즈마(110)를 형성한다. RF 소오스(122)는 약 2 MHz의 주파수에서 파워를 발생시키도록 선택되고, RF 소오스(123)는 약 13.56 MHz의 주파수에서 파워를 발생시키도록 선택된다. RF 소오스들(122, 123)은 1:0 및 0:1 사이의 소오스(122)와 소오스(123)의 소정 파워비(power ratio)에서 약 10,000 와트 까지의 전체 RF 파워를 공급한다. 이들 RF 소오스들(122, 123)은 상기 기판을 자기 바이어싱(selfbias) 시키고 플라즈마 쉬스(sheath)를 변형시키는 바이어스 파워를 공급한다. 상기 소오스들(122, 123) 사이의 비율 조정은 아래에 정의된 바와 같이 상기 플라즈마의 특성들을 제어한다. 상기 바이어스 소오스들의 파워비에 의해 정의된 특성을 갖는 플라즈마는 상기 기판 표면 상에 있는 하나 이상의 물질들을 쉽게 식각한다. 일정 시간 또는 특정 종료점 탐지 후, 상기 플라즈마는 소멸된다.
플라즈마 내 이온 에너지 분포 제어
플라즈마 챔버 내 이온 에너지 분포의 주파수 의존성은 잘 알려져 있다. IEEE Trans. Plasma Sci., Vol.19, No.2, 242 페이지에서 취해진 도 2는, 구동 주파수들(Z 축)의 함수로서 공지된 이온 에너지 분포들(X 축들)의 히스토그램들(Y 축들)의 일련의 그래프들(2001-7)을 나타낸다. 그래프들(2001-7)에서 볼 수 있듯이, 보다 낮은 주파수들과 관련된 이온 에너지 분포는 보다 넓은 에너지 대역폭(예컨대, 그래프(2001)에서 분포(2101))을 가지며, 보다 높은 주파수들은 점진적으로 훨씬 더 집중된 에너지 대역폭(예컨대, 그래프(2007)에서 분포(2107))을 갖는다. 상기 관련성은 저주파 이온 에너지 분포로부터 고주파 이온 에너지 분포로 이동하는 스펙트럼을 따라 일반적으로 연속적이다(예컨대, 그래프들(2001-7)에서 분포들(2101-7)을 비교).
다시 도 1을 참조하면, 일 실시예에 있어서, 본 발명은 위에서 설명된 바와 같이 플라즈마 강화 공정 챔버(100) 내에서 사용하기 위한 이중 주파수 전극(음극, 127)을 포함한다. 상기 음극(127) 상의 두개의 주파수들은 일반적으로 쉬스 변형(modulation) 목적으로 선택되며, 파워가 공급된 표면 상부의 플라즈마 방전 내에서 강한 자기-바이어싱 쉬스를 제공하기 위해 충분히 낮은 주파수이도록 선택된다.
상기 제1 주파수는 넓은 이온 에너지 분포를 제공한다(즉, 저주파수). 상기 제2 주파수는 끝이 뾰족한, 잘 정의된 이온 에너지 분포를 제공한다(즉, 고주파수). 전형적으로, 상기 제1 주파수는 그것의 사이클 시간이 상기 쉬스 내에서 이온의 통과 시간보다 훨씬 더 길도록 선택되나, 상기 제2 주파수는 그것의 주기가 상기 쉬스 내에서 이온의 통과 시간에 근접하거나 그것을 능가하도록 선택된다. 이들 주파수들은 또한, 독자적으로 구동되는 전극(예컨대, 도 1에서 언급된 전극(128))에 의해 공급되는 제3 파워 소오스와 결합하여 사용될 때, 이들 주파수들이 플라즈마 이온화 및 해리에 대한 주 파워 공급원이 아니도록 선택된다.
상기 두개의 주파수 소오스들의 조합된 인가 전압은, 이방성 식각을 위해 사용되는 자기-바이어스된 DC 전위 뿐만 아니라, 피크-피크 쉬스 전압을 제어하기 위해 사용된다. 상기 두 주파수들의 혼합은 DC 전위에 의해 생성되는 평균 가속 근처에서 상기 에너지 분포를 조정하기 위해 사용된다. 따라서, 위에서 설명한 바와 같은 이중 주파수 음극을 구비하는 플라즈마 강화 공정 챔버를 이용하면, 상기 플라즈마 내의 이온 에너지 분포가 제어될 수 있다.
이온 에너지 분포 조정이 작동하는 방법을 이해하기 위해, 원통형 플라즈마 방전을 통해 구동되는 RF 전류에 기초한 단순 쉬스 모델을 고려한다. 발생된 플라즈마 쉬스의 두께는 인가 전류에 따라 선형적으로 발진한다.
,
여기서 s는 상기 쉬스의 두께이고, 는 시간에 따른 평균 두께이고, so는 주파수 ω/2π로 RF 파워 전달에 의해 발생된 변형 진폭이다.
다중 주파수들이 인가되면, 상기 쉬스 두께에 대한 효과가 더해져서 다음 수학식 2와 같이 표현된다.
,
여기서 아래첨자 x 및 y는 각각 제1 및 제2 RF 소오스들을 나타낸다.
대칭적인 방전을 생각하면(단지 논의의 단순성을 위해서이며, 비대칭적인 방전에 대해서도 또한 동일하게 적용된다.), 상기 쉬스 변형은 상기 관련성에 의해 인가된 RF 전류에 관련될 수 있다.
,
,
,
여기서 I는 상기 언급된 주파수에서 전류 진폭이고; e는 전자 전하이고; n은 벌크(bulk) 플라즈마의 전자 밀도이고; A는 파워가 공급되는 표면(전극)의 면적이다.
상기 쉬스를 가로지르는 전압은 아래 수학식 6에 의한 쉬스 변형에 관련된다.
,
여기서 εo는 자유 공간의 유전율(permittivity)이다.
그리고, 이온들 및 전자들의 가속은 전자기장 내의 대전된 입자 운동에 기초한 미분 방정식들을 사용하여 해결될 수 있다.
전자들: ,
여기서 Mion 및 me는 각각 이온 및 전자의 질량이고, 경계조건들은 RF 사이클에 대해 전극 표면상의 총 전하는 0이고 쉬스로 진입하기 전 이온의 초기속도는 상기 이온의 봄 속도(Bohm velocity)와 동일하다는 것이다. 상기 전극 표면에서 이온 속도에 대한 이 방정식들에 대해 풀면 이온 에너지 분포 값을 얻을 수 있다.
동작시 상기 플라즈마의 이온 에너지 분포 제어 가능성을 물리적으로 평가하기 위해, 동작 조건들의 범위 하에서 형성된 플라즈마 내의 상기 예상되는 이온 에너지 분포가 모델링되었으며 실험적으로 증명되었다. 상기 이온 에너지 분포는 도 1을 참조하여 위에서 설명된 바와 같은 이중 주파수 음극이 준비된 eMax™ 공정 챔버 내에서 다양한 공정 조건들 하에 웨이퍼 상에서 웨이퍼-상(on-wafer) 전압을 조사함으로써 평가되었다. 이 분석 동안, 파워가 공급된 전극과 플라즈마의 상호작용에 관한 두개의 기초적인 성분들(쉬스의 피크-피크 전압 및 벌크 전자 밀도)은 일정하게 유지되었으며, 상기 두개의 RF 바이어스 소오스들의 인가 전류 비율들(즉, 인가된 파워에 비례하는)이 상기 음극에 일정한 전체 파워를 공급하는 동안 가변되었다. 상기 제1 RF 소오스(122)는 13.56 MHz에 튜닝되었으며, 제2 RF 소오스(123)는 2 MHz에 튜닝되었다.
도 3은 본 분석의 일련의 그래프들(3001-5)로, 상기 두개의 RF 소오스들의 인가 전류들의 비율을 변화시킨 결과들을 나타낸다. 상기 그래프들은 상기 웨이퍼 상에서 측정된 상기 에너지(축, 304)의 분포(축, 302)를 보여준다. 0% 13 MHz, 100% 2 MHz로 분류된 그래프(3005)에서 볼 수 있듯이, 상기 음극이 저주파 RF 소오스로만 구동되면, 매우 넓은 이온 에너지 분포(3105)가 얻어진다. 이 결과는 도 2에 도시된 저주파 이온 에너지 분포들과 유사하다(예컨대, 그래프(2001)에서 분포(2101)를 보라). 이와 달리, 고주파 RF 소오스만 사용될 때, 100% 13 MHz, 0% 2 MHz로 분류된 그래프(3001)는 도 2에 도시된 고주파 이온 에너지 분포들과 유사한 좁은 에너지 분포(3101)를 보여준다.
한편, 상기 고 RF 주파수 및 저 RF 주파수가 함께 혼합되어 다른 비율들을 나타내는 나머지 그래프들은 중요한 결과를 보여준다. 그래프들(3001-5)을 도 2의 그래프들(2001-7)에서 도시된 히스토그램들에 비교하여 알 수 있듯이, 이들 그래프들은 개별적인 중간 주파수들이 선택된 것과 완전히 동일한 경향을 보여준다. 이는 많은 소오스들 이외에 단지 두개의 소오스들을 이용함으로써 개별적인 저주파에서 고주파 RF 소오스들로의 연속체에 따른 원하는 분포가 달성될 수 있는 방법을 보여준다. 이 결과는 하나의 챔버 내에서 더 많은 공정들을 수행할 수 있는 성능, 즉 챔버의 "공정 윈도우"를 증가시킨다.
비록 앞의 논의가 이중 주파수 음극 구동으로 단지 쉬스 특성들을 변형하는 것에 관해 집중되었지만, 여기서 논의된 원리들은 또한 해리(dissociation)에 대해 적용될 수 있다. 이는 해리를 위한 상부 RF 소오스(도 1의 118)에 대한 필요성을 제거한다.
더욱이, 선택된 2 MHz 및 13.56 MHz의 주파수들은 본 발명의 제한들을 정의하기 위해 단지 예시된 것이며, 본 발명의 제한들을 한정하는 것은 아니다. 더 높은 주파수 및 더 낮은 주파수 모두 본 발명에서 이용될 수 있다.
플라즈마 내 파워 분포 제어
또 다른 실시예에 있어서, 플라즈마 내의 파워 분포는 도 1을 참조하여 위에서 설명된 바와 같은 이중 주파수 음극을 구비하는 플라즈마 강화 공정 챔버를 이용하여 제어될 수 있다. 본 실시예에 있어서, 유사한 플라즈마 여기 특성들을 제공하나 다른 공간 균일성 프로파일들(profiles)을 구비하는 두개의 주파수들이 공정 균일성을 조절하기 위해 조합된다. 예컨대, 도 1에 도시된 공정 챔버(100)에서, 음극(127)은 정전기 척(126)의 외주변부(112)를 따라 종단된 방사상 전송선을 형성한다. 이는 공간적으로 변하는 파워 분포를 생성하며, 파선(dashed line, 130)으로 도식적으로 보여진다(정상파는 또한 도시된 것의 역일 수 있다). 상기 공간적으로 변하는 파워 분포는 플라즈마(110) 내 파워 분포에 영향을 미치며, 상기 파의 피크 근처에서(도 1에 도시된 파(130)에 대해 기판(114)의 중심 근처에서) 더 큰 파워로 그리고 골에서(도 1에서 기판(114)의 가장자리 근처에서) 더 작은 파워로 이어진다. 플라즈마 내의 이러한 파워 차이는 상기 기판 상에 수행되고 있는 공정의 균일성에 영향을 미친다. 따라서, 식각 반응기에서, 식각된 웨이퍼의 균일성은 부정적으로 영향을 받는다.
그러나, 위에서 설명된 공간적으로 변하는 파워 효과는 다른 주파수들에서 변하기 때문에, 다른 주파수들을 갖는 두개의 RF 소오스들이 그들 각각의 정상파들이 서로를 거의 상쇄하도록 함께 혼합되어 상기 음극(127)을 구동할 수 있다. 이것이 도 4에 예시적으로 도시되며, 도 4는 기판 상부에 중심이 놓이며 평평한 조합 효과(430)를 낳는 두개의 반대되는 파워 분포 프로파일들(410, 420)을 보여준다. 또한, 특정 공정에 이로운 어떠한 다른 최종 파워 분포 프로파일도 이 방법을 사용하여 형성될 수 있다는 것에 주목해야 한다. (예컨대, 몇몇 CVD 공정들에 있어서, 가장자리의 고출력 증착 프로파일이 가공된 대상물 상의 고종횡비(high-aspect ratio) 피쳐들 내에 비방위적으로(non-azimuthally) 대칭적인 증착의 영향을 최소화하기 위해 선호된다.)
이러한 주파수 혼합 현상이 상기 플라즈마 내 파워 분포를 제어하기 위해 사용될 수 있으며, 그것에 의해 식각과 같은 요구되는 공정의 균일성을 제어할 수 있다. 예컨대, 공정 균일성에 대한 이중 주파수 조정 효과를 측정하기 위해, 다수의 웨이퍼들 상의 산화층 및 포토레지스트층이 도 1을 참조하여 상술된 바와 같은 이중 주파수 음극이 제공된 eMAX™ 공정 챔버에서 다른 음극 주파수 비율들을 사용하여 식각되었다. 제1 RF 소오스는 13.56 MHz에 튜닝되었으며, 제2 RF 소오스는 2 MHz에 튜닝되었다. 이들 각각의 식각 공정들에 있어서, 상기 음극에 공급되는 전체 파워를 2,500 와트로 일정하게 유지하면서 상기 두개의 RF 구동장치(drive)들의 인가 전류 비율들이 가변되었다. 상기 산화층 및 포토레지스트층 각각의 균일성 뿐만 아니라 이들의 식각률들이 측정되었다.
도 5는 상술된 공정들에 대한 식각률들 및 웨이퍼 균일성을 나타내는 조합된 막대 그래프 및 선 그래프(500)를 도시한다. 상기 그래프의 x축(502)은 13.56 MHz 소오스에 의해 공급되는 파워 대 2 MHz 소오스에 의해 공급되는 파워의 비를 나타낸다. (예컨대, 0:2500은 0W의 13.56 MHz 소오스와 2,500W의 2 MHz 소오스를 의미한다.) OX ER(산화층 식각률)로 분류된 막대들(510) 및 PR ER(포토레지스트층 식각률)로 분류된 막대들(512)로부터 알 수 있듯이, 식각률(축(504))은 상기 다른 주파수들에서 파워 레벨들의 혼합에 관계없이 상대적으로 일정하다.
그러나, 산화층 및 포토레지스트층(각각 도 5에 "OX unif"로 분류된 선(520), 및 "PR unif"로 분류된 선(522)으로 도시됨) 모두에 대한 웨이퍼 균일성(축(506))은 상기 파워 혼합 비율에 따라 상당히 변한다. 상기 균일성은 0W 13.56 MHz 및 2,500W 2 MHz에서 약 60%(중심이 빨리 식각됨)로부터 2,500W 13.56 MHz 및 0W 2 MHz에서 -50%(가장자리가 빨리 식각됨)까지의 다소 선형적인 범위이었으며, 두개의 주파수들의 상당히 동등한 파워 비율에서 거의 0%이었다. 이 결과들은 식각된 표면상의 균일성이 이중 주파수 음극에 인가되는 각 주파수의 파워 비율을 제어함으로써 상기 식각률과는 상당히 독립적으로 제어될 수 있음을 보여준다.
위에서 언급된 바와 같이, 여기서 논의된 원리들은 상기 플라즈마의 쉬스 특성들의 변형 뿐만 아니라 벌크 플라즈마의 해리 또는 이온화에 적용된다. 또한, 선택된 2 MHz 및 13.56 MHz의 주파수들은 본 발명의 제한들을 정의하기 위해 단지 예시된 것이며, 본 발명의 제한들을 한정하는 것은 아니다. 더 높은 주파수 및 더 낮은 주파수 모두 본 발명에서 이용될 수 있다. 예를 들면, 구동 주파수들은 상기 플라즈마에 대한 효과에 의해 군으로 분류될 수 있으며, 그 후 요구되는 특성을 제어하기 위해 필요한 것으로서 선택될 수 있다. 예컨대, 고주파수들은 이온화 및 해리에 효과적인 반면, 저주파수들은 쉬스 변형에 효과적이다. 더욱이, 여기서 개시된 원리들은 정상파들의 제어에 제한되지 않는다. 주파수 의존적인 전파(propagation)에 대한 추가적인 방법들이 존재한다. 예컨대, 주파수에 의존하는 RF 파형을 인도할 수 있는 챔버 내에서 전송선에 주파수 의존적인 종단부 또는 접지로의 주파수 의존적인 회귀경로(return path)를 제공하는 것이다.
따라서, 이중 주파수 음극 구동장치(drive)를 사용하여 플라즈마 강화 공정 챔버 내 플라즈마의 특성들을 제어하기 위한 방법 및 장치가 제공되었다. 상기 음극을 구동하는 두개의 주파수들의 혼합은 플라즈마의 이온 에너지 및 파워 분포들을 제어한다.
앞에서 본 발명의 예시적인 실시예가 설명되었지만, 본 발명의 다른 실시예들이 본 발명의 기본적인 범위를 벗어나지 않으면서 고안될 수 있으며, 본 발명의 범위는 다음의 청구항들에 의해 정해진다.
본 발명의 실시예들에 따르면, 이중 주파수 음극 구동장치를 사용하여 반도체 기판 공정 챔버 내에서 공간적으로 변하는 효과를 방지할 수 있는 개선된 플라즈마 제어 방법 및 장치를 제공할 수 있다.
도 1은 본 발명을 실시하기에 유용한 플라즈마 강화 공정 챔버의 개략적인 부분단면도이다.
도 2는 공지된 구동 주파수 함수로서의 이온 에너지 분포 그래프이다.
도 3은 다양한 RF 전류 비율들에서 이온 에너지 분포를 나타내는 일련의 그래프들이다.
도 4는 다중 주파수 혼합 효과를 나타내는 개략적인 예시 그래프이다.
도 5는 본 발명의 주파수 혼합 원리들을 사용하여 실현된 균일성 개선을 나타내는 그래프이다.

Claims (32)

  1. 이중 주파수 RF 소오스를 사용하여 반도체 기판 공정 챔버 내의 플라즈마 특성들을 제어하는 방법에 있어서,
    공정 챔버 내의 제1 전극에 제1 RF 신호를 공급하고,
    상기 제1 전극에 제2 RF 신호를 공급하되, 상기 제1 및 제2 RF 신호들 사이의 상호작용이 상기 공정 챔버내에 형성된 플라즈마의 적어도 하나의 특성을 제어하기 위해 사용되는 것을 포함하는 플라즈마 특성 제어 방법.
  2. 청구항 1에 있어서,
    상기 플라즈마 특성은 적어도 쉬스 변형인 플라즈마 특성 제어 방법.
  3. 청구항 2에 있어서,
    상기 제1 및 제2 RF 신호들은 상기 플라즈마 내에 강한 자기-바이어싱 쉬스를 제공할 만큼 충분히 낮은 주파수인 플라즈마 특성 제어 방법.
  4. 청구항 2에 있어서,
    상기 제1 RF 신호는 넓은 이온 에너지 분포를 제공하고, 상기 제2 RF 신호는 끝이 뾰족한, 잘 정의된 이온 에너지 분포를 제공하는 플라즈마 특성 제어 방법.
  5. 청구항 4에 있어서,
    상기 제1 RF 신호는 상기 쉬스 내에서 이온의 통과 시간 보다 더 긴 사이클 시간를 가지며, 상기 제2 RF 신호는 상기 쉬스 내에서 이온의 통과시간과 거의 동일하거나 이보다 더 큰 주기를 갖는 플라즈마 특성 제어 방법.
  6. 청구항 2에 있어서,
    상기 제1 및 제2 RF 신호의 조합된 인가 전압이 피크-피크 쉬스 전압 및 자기-바이어스된 DC 전위를 제어하기 위해 사용되는 플라즈마 특성 제어 방법.
  7. 청구항 6에 있어서,
    상기 제1 및 제2 RF, 신호들 사이의 상호작용은 그들의 인가된 파워의 비율인 플라즈마 특성 제어 방법.
  8. 청구항 7에 있어서,
    상기 비율은 상기 DC 전위에 의해 생성되는 평균 가속 근처에서 상기 에너지 분포를 조정하기 위해 사용되는 플라즈마 특성 제어 방법.
  9. 청구항 1에 있어서,
    제2 전극에 제3 RF 신호를 공급하여 상기 플라즈마를 형성하는 것을 더 포함하는 플라즈마 특성 제어 방법.
  10. 청구항 1에 있어서,
    상기 플라즈마 특성은 적어도 상기 플라즈마 내 파워 분포인 플라즈마 특성 제어 방법.
  11. 청구항 10에 있어서,
    상기 제1 및 제2 RF 신호들은 동일한 플라즈마 여기 특성들 및 다른 공간 균일성 프로파일들을 제공하는 플라즈마 특성 제어 방법.
  12. 청구항 11에 있어서,
    상기 제1 및 제2 RF 신호들 사이의 상호작용은 상기 플라즈마 내 파워 분포에 대한 가변 효과인 플라즈마 특성 제어 방법.
  13. 청구항 12에 있어서,
    상기 제1 및 제2 RF 신호들의 조합된 효과가 실질적으로(substantially) 평평한 파워 분포를 생성하도록 상기 제1 및 제2 RF 신호들이 선택되는 플라즈마 특성 제어 방법.
  14. 청구항 12에 있어서,
    상기 제1 및 제2 RF 신호들 사이의 상호작용은 플라즈마 강화 식각 공정의 균일성을 제어하기 위해 사용되는 플라즈마 특성 제어 방법.
  15. 반도체 기판 공정 시스템에서 플라즈마 특성들을 제어하기 위한 장치에 있어서,
    공정 챔버 내에 배치된 제1 전극;
    매치 네트워크를 통해 상기 제1 전극에 결합된 제1 RF 신호를 공급하기 위한 제1 RF 소오스; 및
    상기 매치 네트워크를 통해 상기 제1 전극에 결합된 제2 RF 신호를 공급하기 위한 제2 RF 소오스를 포함하되, 상기 매치 네트워크는 상기 제1 전극에 결합된 단일의 전송장치(feed)를 가지며 상기 제1 및 제2 RF 소오스들은 적어도 하나의 플라즈마 특성을 제어하기 위한 조작가능한 상호작용을 제공하는 플라즈마 특성들을 제어하기 위한 장치.
  16. 청구항 15에 있어서,
    상기 챔버 내에 배치된 제2 전극에 결합된 제3 RF 신호를 공급하기 위한 제3 RF 소오스를 더 포함하는 플라즈마 특성들을 제어하기 위한 장치.
  17. 청구항 16에 있어서,
    상기 제1 전극은 상기 공정 챔버 내에 포함된 기판 지지 페데스탈에 배치되고, 상기 제2 전극은 상기 지지 페데스탈 상부의 상기 공정 챔버 천장 근처에 배치된 플라즈마 특성들을 제어하기 위한 장치.
  18. 청구항 16에 있어서,
    상기 제2 전극에 결합된 제3 RF 신호는 플라즈마를 형성하기 위해 사용되는 플라즈마 특성들을 제어하기 위한 장치.
  19. 청구항 15에 있어서,
    상기 제1 전극은 상기 공정 챔버 내에 포함된 기판 지지 페데스탈 내에 배치된 플라즈마 특성들을 제어하기 위한 장치.
  20. 청구항 15에 있어서,
    상기 공정 챔버는 식각 반응기인 플라즈마 특성들을 제어하기 위한 장치.
  21. 청구항 15에 있어서,
    상기 플라즈마 특성은 적어도 쉬스 변형인 플라즈마 특성들을 제어하기 위한 장치.
  22. 청구항 21에 있어서,
    상기 제1 및 제2 RF 신호들은 각각 상기 플라즈마 내에 강한 자기-바이어싱 쉬스를 제공할 만큼 충분히 낮은 주파수인 플라즈마 특성들을 제어하기 위한 장치.
  23. 청구항 21에 있어서,
    상기 제1 RF 신호는 넓은 이온 에너지 분포를 제공하고, 제2 RF 신호는 끝이 뾰족한, 잘 정의된 이온 에너지 분포를 제공하는 플라즈마 특성들을 제어하기 위한 장치.
  24. 청구항 23에 있어서,
    상기 제1 RF 신호는 상기 쉬스 내에서 이온의 통과 시간 보다 더 긴 사이클 시간를 가지며, 상기 제2 RF 신호는 상기 쉬스 내에서 이온의 통과시간과 거의 동일하거나 이보다 더 큰 주기를 갖는 플라즈마 특성들을 제어하기 위한 장치.
  25. 청구항 21에 있어서,
    상기 제1 및 제2 RF 신호의 조합된 인가 전압이 피크-피크 쉬스 전압 및 자기-바이어스된 DC 전위를 제어하기 위해 사용되는 플라즈마 특성들을 제어하기 위한 장치.
  26. 청구항 25에 있어서,
    상기 제1 및 제2 RF 신호들 사이의 조작가능한 상호작용은 그들의 인가된 파워 비율인 플라즈마 특성들을 제어하기 위한 장치.
  27. 청구항 26에 있어서,
    상기 비율은 상기 DC 전위에 의해 생성되는 평균 가속 근처에서 상기 에너지 분포를 조정하기 위해 사용되는 플라즈마 특성들을 제어하기 위한 장치.
  28. 청구항 15에 있어서,
    상기 플라즈마 특성은 적어도 상기 플라즈마 내 파워 분포인 플라즈마 특성들을 제어하기 위한 장치.
  29. 청구항 28에 있어서,
    상기 제1 및 제2 RF 신호들은 동일한 플라즈마 여기 특성들 및 다른 공간 균일성 프로파일들을 제공하는 플라즈마 특성들을 제어하기 위한 장치.
  30. 청구항 29에 있어서,
    상기 제1 및 제2 RF 신호들 사이의 조작가능한 상호작용은 상기 플라즈마 내 파워 분포에 대한 가변 효과인 플라즈마 특성들을 제어하기 위한 장치.
  31. 청구항 30에 있어서,
    상기 제1 및 제2 RF 신호들의 조합된 효과가 실질적으로(substantially) 평평한 파워 분포를 생성하도록 상기 제1 및 제2 RF 신호들이 선택되는 플라즈마 특성들을 제어하기 위한 장치.
  32. 청구항 30에 있어서,
    상기 제1 및 제2 RF 신호들 사이의 조작가능한 상호작용은 플라즈마 강화 식각 공정의 균일성을 제어하기 위해 사용되는 플라즈마 특성들을 제어하기 위한 장치.
KR1020040082295A 2003-10-28 2004-10-14 이중 음극 주파수 혼합을 사용한 플라즈마 제어 KR101115439B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51499303P 2003-10-28 2003-10-28
US60/514,993 2003-10-28
US10/823,364 2004-04-12
US10/823,364 US7838430B2 (en) 2003-10-28 2004-04-12 Plasma control using dual cathode frequency mixing

Publications (2)

Publication Number Publication Date
KR20050040709A true KR20050040709A (ko) 2005-05-03
KR101115439B1 KR101115439B1 (ko) 2012-04-18

Family

ID=38992494

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040082295A KR101115439B1 (ko) 2003-10-28 2004-10-14 이중 음극 주파수 혼합을 사용한 플라즈마 제어

Country Status (4)

Country Link
US (2) US7838430B2 (ko)
KR (1) KR101115439B1 (ko)
CN (2) CN100337314C (ko)
TW (1) TWI356452B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100777151B1 (ko) * 2006-03-21 2007-11-16 주식회사 디엠에스 하이브리드형 플라즈마 반응장치
WO2008096980A1 (en) * 2007-02-06 2008-08-14 Sosul Co., Ltd. Apparatus for performing a plasma etching process
KR20210076061A (ko) * 2018-10-24 2021-06-23 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 유도 결합 플라즈마 에칭 장치 및 방법

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7615164B2 (en) * 2004-06-23 2009-11-10 Micron Technology, Inc. Plasma etching methods and contact opening forming methods
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US7471293B2 (en) * 2005-02-09 2008-12-30 International Business Machines Corporation Method, system, and computer program product for displaying calendar-based SLO results and breach values
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP5082338B2 (ja) * 2006-08-25 2012-11-28 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US7968469B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US8076247B2 (en) * 2007-01-30 2011-12-13 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling RF phase between opposing electrodes
US7879731B2 (en) * 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
JP5213496B2 (ja) * 2008-03-31 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
US20100099266A1 (en) * 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
TWI556309B (zh) * 2009-06-19 2016-11-01 半導體能源研究所股份有限公司 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法
US20100326602A1 (en) * 2009-06-30 2010-12-30 Intevac, Inc. Electrostatic chuck
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
JP5916056B2 (ja) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
JP5935116B2 (ja) * 2011-12-16 2016-06-15 東京エレクトロン株式会社 プラズマ処理装置
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
CN104782233B (zh) * 2012-08-28 2018-12-25 先进能源工业公司 控制开关模式离子能量分布***的方法
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
CN104733278B (zh) * 2013-12-23 2017-03-15 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体处理方法
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
KR102222902B1 (ko) * 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
GB201608926D0 (en) 2016-05-20 2016-07-06 Spts Technologies Ltd Method for plasma etching a workpiece
US10395896B2 (en) 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
US10424467B2 (en) * 2017-03-13 2019-09-24 Applied Materials, Inc. Smart RF pulsing tuning using variable frequency generators
EP4231328A1 (en) 2017-11-17 2023-08-23 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
KR20210136481A (ko) 2020-05-07 2021-11-17 삼성전자주식회사 플라즈마 공정 시스템, 그 시스템에서의 플라즈마 제어방법, 및 그 제어방법을 포함한 반도체 소자 제조방법
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5996A (en) * 1849-01-02 Jottrjstal and box
US203096A (en) * 1878-04-30 Improvement in thill-couplings
US9285A (en) * 1852-09-28 Improvement in brick-kilns
US3598A (en) * 1844-05-25 Improvement in water-proof gewsentssgc
US87001A (en) * 1869-02-16 conover
US219523A (en) * 1879-09-09 Improvement in portable-engine boilers
US161930A (en) * 1875-04-13 Improvement in horse-collars
US3962464A (en) * 1969-07-21 1976-06-08 Societe D'assistance Technique Pour Produits Nestle S.A. Process for preparing a butter-like dairy product
EP0076548B1 (en) * 1981-10-07 1985-03-27 Unilever N.V. Process for producing a spread starting from a bimodal dispersed phase
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4772487A (en) * 1986-10-01 1988-09-20 Olympus Optical Company Limited Method and apparatus of forming solid phase reagent in micro-module
DE3733135C1 (de) 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JPH04901A (ja) * 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
US5065118A (en) * 1990-07-26 1991-11-12 Applied Materials, Inc. Electronically tuned VHF/UHF matching network
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5308637A (en) * 1992-07-02 1994-05-03 Kraft General Foods, Inc. Method for processing fat-containing food sauce
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3339597B2 (ja) * 1993-09-03 2002-10-28 アネルバ株式会社 プラズマ処理方法およびプラズマ処理装置
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
JP2654340B2 (ja) * 1993-11-11 1997-09-17 株式会社フロンテック 基板表面電位測定方法及びプラズマ装置
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
EP0715334B1 (en) * 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3119172B2 (ja) 1995-09-13 2000-12-18 日新電機株式会社 プラズマcvd法及び装置
US5817534A (en) 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6124778A (en) * 1997-10-14 2000-09-26 Sun Microsystems, Inc. Magnetic component assembly
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US5985375A (en) 1998-09-03 1999-11-16 Micron Technology, Inc. Method for pulsed-plasma enhanced vapor deposition
US6642149B2 (en) 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6222718B1 (en) * 1998-11-12 2001-04-24 Lam Research Corporation Integrated power modules for plasma processing systems
JP2000269196A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6586033B1 (en) * 1999-05-21 2003-07-01 Lipton, Division Of Conopco, Inc. Ionic stable emulsion sauce
US6193855B1 (en) 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
DE10015825C2 (de) * 2000-03-30 2002-11-21 Framatome Anp Gmbh Verfahren zum Beseitigen des Spiels eines Rohres in einem Halterungselement und Rohr mit einem Halterungselement
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6506289B2 (en) * 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
FR2818409B1 (fr) * 2000-12-18 2003-03-14 Expaway Procede pour diviser des documents structures en plusieurs parties
JP2002299322A (ja) * 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
JP4129855B2 (ja) * 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100777151B1 (ko) * 2006-03-21 2007-11-16 주식회사 디엠에스 하이브리드형 플라즈마 반응장치
WO2008096980A1 (en) * 2007-02-06 2008-08-14 Sosul Co., Ltd. Apparatus for performing a plasma etching process
KR20210076061A (ko) * 2018-10-24 2021-06-23 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 유도 결합 플라즈마 에칭 장치 및 방법

Also Published As

Publication number Publication date
KR101115439B1 (ko) 2012-04-18
CN1655328A (zh) 2005-08-17
CN101094557A (zh) 2007-12-26
CN100337314C (zh) 2007-09-12
US7838430B2 (en) 2010-11-23
TWI356452B (en) 2012-01-11
US20070000611A1 (en) 2007-01-04
TW200515507A (en) 2005-05-01
US20050090118A1 (en) 2005-04-28

Similar Documents

Publication Publication Date Title
KR101115439B1 (ko) 이중 음극 주파수 혼합을 사용한 플라즈마 제어
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
TWI689986B (zh) 電漿處理方法及電漿處理裝置
US8962488B2 (en) Synchronized radio frequency pulsing for plasma etching
JP3381916B2 (ja) 低周波誘導型高周波プラズマ反応装置
US6562190B1 (en) System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US6200651B1 (en) Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
KR101333924B1 (ko) 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
US7736914B2 (en) Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US6875366B2 (en) Plasma processing apparatus and method with controlled biasing functions
JP4714166B2 (ja) 基板のプラズマ処理装置及びプラズマ処理方法
KR20070104856A (ko) 독립적인 용량성의 환형 플라즈마 소스를 구비한 플라즈마반응기
US7851368B2 (en) Methods and apparatus for igniting a low pressure plasma
JP3748230B2 (ja) プラズマエッチング装置及びシャワープレート
JP2760845B2 (ja) プラズマ処理装置及びその方法
CN111937114A (zh) 用于在加工等离子体时控制离子能量分布的装置和方法
US20220230851A1 (en) Plasma processing system
KR20240090877A (ko) 플라즈마 반응기 내의 전극들에 대한 이온 에너지 제어

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
J204 Request for invalidation trial [patent]
J301 Trial decision

Free format text: TRIAL DECISION FOR INVALIDATION REQUESTED 20120524

Effective date: 20130624

J2X1 Appeal (before the patent court)

Free format text: INVALIDATION

J202 Request for trial for correction [limitation]
J301 Trial decision

Free format text: TRIAL DECISION FOR CORRECTION REQUESTED 20131120

Effective date: 20140224

J203 Request for trial for invalidation of correction [invalidation of limitation]

Free format text: TRIAL FOR INVALIDATION OF CORRECTION FOR INVALIDATION OF CORRECTION

J302 Written judgement (patent court)

Free format text: JUDGMENT (PATENT COURT) FOR INVALIDATION REQUESTED 20130802

Effective date: 20140829

J303 Written judgement (supreme court)

Free format text: JUDGMENT (SUPREME COURT) FOR INVALIDATION REQUESTED 20140925

Effective date: 20150115

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 5

J301 Trial decision

Free format text: TRIAL DECISION FOR INVALIDATION OF CORRECTION REQUESTED 20140502

Effective date: 20160531

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 6

G170 Publication of correction