KR20240090877A - 플라즈마 반응기 내의 전극들에 대한 이온 에너지 제어 - Google Patents

플라즈마 반응기 내의 전극들에 대한 이온 에너지 제어 Download PDF

Info

Publication number
KR20240090877A
KR20240090877A KR1020247017231A KR20247017231A KR20240090877A KR 20240090877 A KR20240090877 A KR 20240090877A KR 1020247017231 A KR1020247017231 A KR 1020247017231A KR 20247017231 A KR20247017231 A KR 20247017231A KR 20240090877 A KR20240090877 A KR 20240090877A
Authority
KR
South Korea
Prior art keywords
electrode
voltage
processing
plasma
power
Prior art date
Application number
KR1020247017231A
Other languages
English (en)
Inventor
위에 궈
양 양
하이타오 왕
카르틱 라마스와미
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240090877A publication Critical patent/KR20240090877A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Abstract

본 명세서에서 제공된 실시예들은 일반적으로, 프로세싱 챔버에서 이온 에너지 분배를 제어하기 위한 장치, 플라즈마 프로세싱 시스템들 및 방법들을 포함한다. 본 개시내용의 일 실시예는 플라즈마 프로세싱을 위한 방법에 관한 것이다. 방법은 일반적으로, 프로세싱 챔버의 제1 전극에 인가될 바이어스 신호와 연관된 전압 및/또는 전력을 결정하는 단계 - 전압은, 전압이 제1 전극에 대한 전압 및/또는 전력의 인가에 의해 챔버 내부에서 플라즈마를 생성하기에 불충분하도록 프로세싱 챔버의 프로세싱 구역 내부의 압력에 기반하여 결정됨 -; 결정된 전압 및/또는 전력에 따라 제1 바이어스 신호를 제1 전극에 인가하는 단계; 및 프로세싱 챔버의 제2 전극에 제2 바이어스 신호를 인가하는 단계를 포함하며, 여기서 제2 바이어스 신호는 프로세싱 구역에서 플라즈마를 생성하도록 구성되고, 제2 바이어스가 인가되는 동안 제1 바이어스가 인가된다.

Description

플라즈마 반응기 내의 전극들에 대한 이온 에너지 제어
본 개시내용의 실시예들은 일반적으로 반도체 디바이스 제조에서 사용되는 시스템에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 기판을 프로세싱하는데 사용되는 플라즈마 프로세싱 시스템에 관한 것이다.
높은 종횡비 피처들을 신뢰할 수 있게 생성하는 것은 차세대의 반도체 디바이스들에 대한 핵심 기술 난제들 중 하나이다. 높은 종횡비 피처들을 형성하는 하나의 방법은 기판 표면 상에 형성된 패터닝된 마스크 층에 형성된 개구들을 통해 기판 표면 상에 형성된 재료에 충격을 가하기 위한 플라즈마-보조 에칭 프로세스를 사용한다.
기술 노드들이 2 nm을 향해 진보됨에 따라, 더 큰 종횡비들을 갖는 더 작은 피처들의 제작은 플라즈마 프로세싱을 위한 원자 정밀도를 요구한다. 플라즈마 이온들이 중요한 역할을 하는 에칭 프로세스들의 경우, 이온 에너지 제어는 반도체 장비 산업에서 신뢰할 수 있고 반복가능한 디바이스 형성 프로세스들의 개발에 항상 난제이다. 통상적인 플라즈마-보조 에칭 프로세스에서, 기판은 프로세싱 챔버에 배치된 정전 척(ESC) 상에 포지셔닝되고, 플라즈마는 기판 위에 형성되고, 이온들은 플라즈마로부터, 플라즈마와 기판의 표면 사이에 형성된 플라즈마 시스(plasma sheath), 즉 전자들의 공핍 구역에 걸쳐 기판을 향해 가속된다. 전통적으로, 플라즈마를 여기시키고 플라즈마 시스를 형성하도록 정현파 RF 파형들을 사용하는 RF 기판 바이어싱 방법들은 전극들에서의 이봉(bimodal) 이온 에너지 분배들을 갖는다.
따라서, 모노에너제틱(monoenergetic) 이온 에너지 피크를 생성하고 이온 에너지 분배들을 정확하게 제어할 수 있는 소스 및 바이어싱 방법들에 대한 필요성이 당업계에 존재한다. 따라서, 그러한 방법 및 기법은 기판에 대한 바람직한 플라즈마-보조 프로세스의 완성을 가능하게 하며, 이는 박막 에칭 및 증착 애플리케이션들에서 중요하다.
본 명세서에서 제공된 실시예들은 일반적으로, 프로세싱 챔버에서 이온 에너지 분배를 제어하기 위한 장치, 플라즈마 프로세싱 시스템들 및 방법들을 포함한다.
본 개시내용의 일 실시예는 플라즈마 프로세싱을 위한 방법에 관한 것이다. 방법은 일반적으로, 프로세싱 챔버의 제1 전극에 인가될 바이어스 신호와 연관된 전압 및/또는 전력을 결정하는 단계 - 전압 및/또는 전력은, 전압이 제1 전극에 대한 전압의 인가에 의해 챔버 내부에서 플라즈마를 생성하기에 불충분하도록 프로세싱 챔버의 프로세싱 구역 내부의 압력에 기반하여 결정됨 -; 결정된 전압에 따라 제1 바이어스 신호를 제1 전극에 인가하는 단계; 및 프로세싱 챔버의 제2 전극에 제2 바이어스 신호를 인가하는 단계를 포함하며, 여기서 제2 바이어스 신호는 프로세싱 구역에서 플라즈마를 생성하도록 구성되고, 제2 바이어스가 인가되는 동안 제1 바이어스가 인가된다. 일부 실시예들에서, 전압 및/또는 전력은 프로세싱 챔버의 프로세싱 구역 내부의 특정 갭에 대한 압력에 기반하여 결정되며, 갭은 제1 전극과 제2 전극 또는 프로세싱 구역의 적어도 일부를 정의하는 접지된 표면과 제1 전극 사이에 존재한다.
본 개시내용의 일 실시예는 플라즈마 프로세싱을 위한 장치에 관한 것이다. 장치는 일반적으로, 전압 및/또는 전력에 따라 프로세싱 챔버의 제1 전극에 제1 바이어스 신호를 인가하도록 구성된 제1 소스 전압 생성기 - 전압 및/또는 전력은, 전압 및/또는 전력이 제1 전극에 대한 전압의 인가에 의해 챔버 내부에서 플라즈마를 생성하기에 불충분하도록 프로세싱 챔버의 프로세싱 구역 내부의 압력에 기반하여 결정됨 -; 및 프로세싱 챔버의 제2 전극에 제2 바이어스 신호를 인가하도록 구성된 제2 소스 전압 생성기를 포함한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있는데, 이러한 실시예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들이 예시적인 실시예들만을 예시하는 것이므로, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 하며, 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것을 유의해야 한다.
도 1은 본 명세서에 기재된 방법들을 실시하도록 구성된, 하나 이상의 실시예들에 따른 프로세싱 시스템의 개략적인 단면도이다.
도 2는 프로세싱 챔버의 전극에 인가되는 전압 파형으로 인해 기판 상에서 확립되는 전압 파형을 도시한다.
도 3a는 본 개시내용의 특정 실시예들에 따른, 프로세싱 챔버의 상부 전극 및 에지 전극들에 바이어스 신호들을 제공하는 RF 소스들을 예시한다.
도 3b는 본 개시내용의 특정 실시예들에 따른, 프로세싱 챔버의 상부 전극 및 최하부 전극에 바이어스 신호들을 제공하는 RF 소스들을 예시한다.
도 4는 본 개시내용의 특정 실시예들에 따른, 상이한 동작 모드들을 갖는 전극에서의 IED(ion energy distribution) 비교를 보여주는 그래프이다.
도 5는 본 개시내용의 특정 실시예들에 따른, 상이한 소스 전력 듀티 사이클들을 갖는 전극에서의 IED 비교를 보여주는 그래프이다.
도 6은 본 개시내용의 특정 실시예들에 따른, 전극 상에서 측정된 바와 같은 전압 파형 및 소스 전압 생성기에 의해 제공된 펄싱 신호를 예시한다.
도 7a는 본 개시내용의 특정 실시예들에 따른, 상이한 압력들 및 프로세스 케미스트리(chemistry)들과 함께 사용하기 위해 전극에 제공되는 CW(continuous wave) 신호와 연관된 바람직한 전력 범위를 예시하는 곡선들을 포함한다.
도 7b는 공통 가스들에 대해 생성된 파센 곡선(Paschen's curve)들을 예시한다.
도 8은 본 개시내용의 특정 실시예들에 따른, 플라즈마 프로세싱을 위한 방법을 예시하는 프로세스 흐름도이다.
본 개시내용의 일부 실시예들은 일반적으로, 플라즈마 반응기 내의 전극에서 IED(ion energy distribution)를 제어하기 위한 기법들에 관한 것이다. 모노에너제틱 IED를 달성하기 위해, 펄싱된 신호가 챔버 내부에서 플라즈마를 생성하기 위해 프로세싱 챔버의 상부 전극에 제공될 수 있다. 게다가, CW(continuous wave) 신호가 프로세싱 챔버의 최하부 또는 에지 전극(들)에 제공될 수 있다. 이러한 방식으로, CW 신호와 연관된 전력이 전력 임계치 미만인 한, 모노에너제틱 피크가 생성되어, 정밀한 플라즈마 프로세싱을 용이하게 할 수 있다. 다시 말하면, CW 신호의 전압 및/또는 전력은, 다수의 IED 피크들을 생성하는 것을 회피하기 위해 CW 신호의 전압 및/또는 전력이 CW 신호의 인가로 인해 플라즈마를 생성하기에 불충분하도록 임계치 미만이도록 선택된다.
일부 실시예들에서, IED를 제어하기 위해 다양한 신호 파라미터들이 조정될 수 있다. 예컨대, 펄싱된 신호의 듀티 사이클은 이온 에너지와 이온 에너지 분배 크기 사이의 트레이드오프에 기반하여 결정될 수 있다. 트레이드오프는 듀티 사이클을 증가시키는 것과 연관된 더 큰 IED 크기 및 더 낮은 이온 에너지를 특징으로 한다. 따라서, 상부 전극에 제공되는 펄싱된 신호와 연관된 듀티 사이클은 이러한 트레이드오프에 따라 조정될 수 있다. 더 낮은 듀티 사이클이 선택되어, 더 낮은 IED 크기를 초래하면, 그러한 더 낮은 IED 크기는 펄싱된 신호와 연관된 전력을 증가시키는 것, 프로세싱 시간을 증가시키는 것, 또는 챔버 내부의 압력을 증가시키는 것과 같은 임의의 적합한 기법들을 사용하여 보상될 수 있다.
플라즈마 프로세싱 시스템 예들
도 1은 본 명세서에 기재된 플라즈마 프로세싱 방법들 중 하나 이상을 수행하도록 구성된 프로세싱 시스템(10)의 개략적인 단면도이다. 일부 실시예들에서, 프로세싱 시스템(10)은 RIE(reactive ion etch) 플라즈마 프로세싱과 같은 플라즈마-보조 에칭 프로세스들을 위해 구성된다. 그러나, 본 명세서에 설명된 실시예들은 플라즈마-강화 증착 프로세스들, 예컨대 PECVD(plasma-enhanced chemical vapor deposition) 프로세스들, PEPVD(plasma-enhanced physical vapor deposition) 프로세스들, PEALD(plasma-enhanced atomic layer deposition) 프로세스들, 플라즈마 처리 프로세싱 또는 플라즈마-기반 이온 주입 프로세싱, 예컨대 PLAD(plasma doping) 프로세싱과 같은 다른 플라즈마-보조 프로세스들에서의 사용을 위해 구성된 프로세싱 시스템들과 함께 또한 사용될 수 있다는 것을 유의해야 한다.
도시된 바와 같이, 프로세싱 시스템(10)은 CCP(capacitively coupled plasma)를 형성하도록 구성되며, 여기서 프로세싱 챔버(100)는 프로세싱 볼륨(129)에 또한 배치된 하부 전극(예컨대, 기판 지지 조립체(136))을 향하는, 프로세싱 볼륨(129)에 배치된 상부 전극(예컨대, 챔버 덮개(123))을 포함한다. 통상적인 CCP(capacitively coupled plasma) 프로세싱 시스템에서, RF(radio frequency) 소스(예컨대, RF 생성기(118))는 상부 또는 하부 전극 중 하나에 전기적으로 커플링되고, 플라즈마(예컨대, 프로세싱 플라즈마(101))를 점화하고 유지하도록 구성된 RF 신호를 전달한다. 이러한 구성에서, 플라즈마는 상부 및 하부 전극들 각각에 용량성 커플링되고, 그들 사이의 프로세싱 구역에 배치된다. 통상적으로, 상부 또는 하부 전극들 중 반대쪽 전극은 접지에 또는 제2 RF 전력 소스에 커플링된다. 일 실시예에서, 기판 지지 조립체(136)의 하나 이상의 컴포넌트들, 이를테면 지지 베이스(107)는 RF 생성기(118)를 포함하는 플라즈마 생성기 조립체(163)에 전기적으로 커플링되고, 챔버 덮개(123)는 접지에 전기적으로 커플링된다. 도시된 바와 같이, 프로세싱 시스템(10)은 프로세싱 챔버(100), 기판 지지 조립체(136), 및 시스템 제어기(126)를 포함한다. 일부 실시예들에서, 플라즈마는 기판(또는 주변 코일)의 최상부 상에서 유도성 커플링 소스에 의해 대안적으로 생성될 수 있다. 이러한 구성에서, 코일은 세라믹 덮개(진공 경계)의 최상부 상에 배치되고, RF 생성기(118)에 의해 전력공급되어, 프로세싱 시스템(10)의 프로세싱 볼륨(129)에서 프로세싱 플라즈마(101)를 생성할 수 있다.
프로세싱 챔버(100)는 통상적으로, 챔버 덮개(123), 하나 이상의 측벽들(122), 및 챔버 베이스(124)를 포함하는 챔버 바디(113)를 포함하며, 이들은 집합적으로 프로세싱 볼륨(129)을 정의한다. 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 일반적으로, 프로세싱 챔버(100)의 엘리먼트들에 대한 구조적 지지를 형성하도록 사이징 및 형상화되고, 프로세싱 동안 프로세싱 챔버(100)의 프로세싱 볼륨(129)에 유지되는 진공 환경 내에서 프로세싱 플라즈마(101)가 생성되는 동안 엘리먼트들에 인가되는 압력들 및 추가 에너지를 견디도록 구성되는 재료들을 포함한다. 일 예에서, 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 알루미늄, 알루미늄 합금, 또는 스테인레스강 합금과 같은 금속으로 형성된다.
챔버 덮개(123)를 통해 배치된 가스 유입구(128)는, 프로세싱 볼륨(129)과 유체 연통하는 프로세싱 가스 소스(119)로부터 프로세싱 볼륨(129)으로 하나 이상의 프로세싱 가스들을 전달하는 데 사용된다. 기판(103)은, 기판(103)의 플라즈마 프로세싱 동안 슬릿 밸브(도시되지 않음)를 이용하여 밀봉되는, 하나 이상의 측벽들(122) 중 하나의 측벽 내의 개구(도시되지 않음)를 통해 프로세싱 볼륨(129)으로 로딩되고 그로부터 제거된다.
프로세싱 챔버 제어기로 본 명세서에서 또한 지칭되는 시스템 제어기(126)는, CPU(central processing unit)(133), 메모리(134), 및 지원 회로들(135)을 포함한다. 시스템 제어기(126)는 본 명세서에 설명된 기판 바이어싱 방법들을 포함하여, 기판(103)을 프로세싱하는 데 사용되는 프로세싱 시퀀스를 제어하는 데 사용된다. CPU(133)는 프로세싱 챔버 및 그에 관련된 서브-프로세서들을 제어하도록 산업 현장에서의 사용을 위해 구성된 범용 컴퓨터 프로세서이다. 일반적으로 비-휘발성 메모리인 본 명세서에 설명된 메모리(134)는 랜덤 액세스 메모리, 판독-전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 로컬 또는 원격인 다른 적합한 형태들의 디지털 저장소를 포함할 수 있다. 지원 회로들(135)은 전통적으로, CPU(133)에 커플링되고, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들 등, 및 이들의 조합들을 포함한다. 소프트웨어 명령들(프로그램) 및 데이터는 CPU(133) 내의 프로세서에 명령하기 위해 코딩되어 메모리(134) 내에 저장될 수 있다. 시스템 제어기(126) 내의 CPU(133)에 의해 판독가능한 소프트웨어 프로그램(또는 컴퓨터 명령들)은 어느 태스크들이 프로세싱 시스템(10) 내의 컴포넌트들에 의해 수행가능한지를 결정한다.
통상적으로, 시스템 제어기(126) 내의 CPU(133)에 의해 판독가능한 프로그램은, 프로세서(CPU(133))에 의해 실행될 때, 본 명세서에 설명된 플라즈마 프로세싱 방식들에 관련된 태스크들을 수행하는 코드를 포함한다. 프로그램은 본 명세서에 설명된 방법들을 구현하는 데 사용되는 다양한 프로세스 태스크들 및 다양한 프로세스 시퀀스들을 수행하기 위해 프로세싱 시스템(10) 내의 다양한 하드웨어 및 전기 컴포넌트들을 제어하는 데 사용되는 명령들을 포함할 수 있다. 일 실시예에서, 프로그램은 도 6과 관련하여 아래에서 설명되는 동작들 중 하나 이상을 수행하는 데 사용되는 명령들을 포함한다.
프로세싱 시스템은 플라즈마 생성기 조립체(163), 바이어스 전극(104)에서 제1 PV 파형을 확립하기 위한 제1 소스 조립체(196)를 포함할 수 있다. 일부 실시예들에서, 플라즈마 생성기 조립체(163)는, 기판 지지 조립체(136)와 챔버 덮개(123) 사이에 배치된 프로세싱 구역에서 프로세싱 플라즈마(101)를 생성(유지 및/또는 점화)하는 데 사용될 수 있는 RF 신호를 지지 베이스(107)(예컨대, 전력 소스 전극 또는 캐소드)에 전달한다. 일부 실시예들에서, RF 생성기(118)는 1 MHz 이상, 또는 약 2 MHz 이상, 이를테면 약 13.56 MHz 이상보다 큰 주파수를 갖는 RF 신호를 전달하도록 구성된다. 일 예에서, RF 생성기(118)는 약 13.56 MHz 내지 약 200 MHz, 이를테면 약 40 MHz 내지 약 60 MHz인 주파수를 갖는 RF 신호를 전달하도록 구성된다.
위에서 논의된 바와 같이, 일부 실시예들에서, RF 생성기(118) 및 RF 생성기 조립체(160)를 포함하는 플라즈마 생성기 조립체(163)는 일반적으로, 시스템 제어기(126)로부터 제공된 제어 신호들에 기반하여, 원하는 실질적으로 고정된 정현파 파형 주파수로 기판 지지 조립체(136)의 지지 베이스(107)에 원하는 양의 CW(continuous wave) 또는 펄싱된 RF 전력을 전달하도록 구성된다. 프로세싱 동안, 플라즈마 생성기 조립체(163)는 기판 지지부(105)에 근접하게 그리고 기판 지지 조립체(136) 내에 배치된 지지 베이스(107)에 RF 전력(예컨대, RF 신호)을 전달하도록 구성된다. 지지 베이스(107)에 전달된 RF 전력은 프로세싱 볼륨(129) 내에 배치된 프로세싱 가스들을 포함하는 프로세싱 플라즈마(101)를 점화 및 유지하도록 구성된다. 프로세싱 플라즈마(101)를 생성하기 위해 지지 베이스(107)에 RF 전력을 제공하는 구성들은 통상적으로 챔버 덮개(123)가 접지되는 구성을 포함할 것이다.
일부 실시예들에서, 지지 베이스(107)는, 둘 모두 RF 생성기 조립체(160) 내에 배치되는 RF 매칭 회로(162) 및 제1 필터 조립체(161)를 통해 RF 생성기(118)에 전기적으로 커플링되는 RF 전극이다. 제1 필터 조립체(161)는 파형 생성기(150)의 출력에 의해 생성된 전류가 RF 전력 전달 라인(167)을 통해 유동되고 RF 생성기(118)를 손상시키는 것을 실질적으로 방지하도록 구성된 하나 이상의 전기 엘리먼트들을 포함한다. 제1 필터 조립체(161)는 파형 생성기(150)에 의해 생성된 파형에 대해 높은 임피던스(예컨대, 높은 Z)로서 작용하고, 따라서 RF 매칭 회로(162) 및 RF 생성기(118)로의 전류의 유동을 억제한다.
일부 실시예들에서, RF 생성기 조립체(160) 및 RF 생성기(118)는, 프로세싱 볼륨(129)에 배치된 프로세싱 가스들 및 RF 생성기(118)에 의해 지지 베이스(107)에 전달된 RF 전력(RF 신호)에 의해 생성된 필드들을 사용하여 프로세싱 플라즈마(101)를 점화 및 유지하는 데 사용된다. 프로세싱 볼륨(129)은 진공 배출구(120)를 통해 하나 이상의 전용 진공 펌프들에 유체 커플링되며, 이들은 대기압 이하 조건들로 프로세싱 볼륨(129)을 유지하고 프로세싱 볼륨(129)으로부터 프로세싱 및/또는 다른 가스들을 진공배기시킨다. 일부 실시예들에서, 프로세싱 볼륨(129)에 배치된 기판 지지 조립체(136)는, 접지되고 챔버 베이스(124)를 통해 연장되는 지지 샤프트(138) 상에 배치된다. 그러나, 일부 실시예들에서, RF 생성기 조립체(160)는 지지 베이스(107)에 비해 기판 지지부(105)에 배치된 바이어스 전극(104)에 RF 전력을 전달하도록 구성된다.
위에서 간략하게 논의된 바와 같이, 기판 지지 조립체(136)는 일반적으로 기판 지지부(105)(예컨대, ESC 기판 지지부) 및 지지 베이스(107)를 포함한다. 일부 실시예들에서, 기판 지지 조립체(136)는 아래에서 추가로 논의되는 바와 같이, 절연체 플레이트(111) 및 접지 플레이트(112)를 부가적으로 포함할 수 있다. 지지 베이스(107)는 절연체 플레이트(111)에 의해 챔버 베이스(124)로부터 전기적으로 격리되고, 접지 플레이트(112)는 절연체 플레이트(111)와 챔버 베이스(124) 사이에 개재된다. 기판 지지부(105)는 지지 베이스(107)에 열적으로 커플링되고, 그 상에 배치된다. 일부 실시예들에서, 지지 베이스(107)는 기판 프로세싱 동안 기판 지지부(105) 및 기판 지지부(105) 상에 배치된 기판(103)의 온도를 조절하도록 구성된다.
통상적으로, 기판 지지부(105)는 유전체 재료, 이를테면 벌크 소결된 세라믹 재료, 이를테면 내부식성 금속 산화물 또는 금속 질화물 재료, 예컨대 알루미늄 산화물(Al2O3), 알루미늄 질화물(AIN), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 이트륨 산화물(Y2O3), 이들의 혼합물들, 또는 이들의 조합들로 형성된다. 본 명세서의 실시예들에서, 기판 지지부(105)는 그의 유전체 재료에 매립된 바이어스 전극(104)을 더 포함한다. 일부 실시예들에서, 바이어스 전극(104) 위의 프로세싱 구역에서 프로세싱 플라즈마(101)를 유지하는 데 사용되는 RF 전력의 하나 이상의 특성들은 바이어스 전극(104)에서 확립된 RF 파형을 측정함으로써 결정 및/또는 모니터링된다.
일 구성에서, 바이어스 전극(104)은, 기판 지지부(105)의 기판 지지 표면(105A)에 기판(103)을 고정(즉, 척킹)시키고, 본 명세서에 설명된 펄싱된-전압 바이어싱 방식들 중 하나 이상을 사용하여 프로세싱 플라즈마(101)에 대해 기판(103)을 바이어싱하는 데 사용되는 척킹 폴(chucking pole)이다. 통상적으로, 바이어스 전극(104)은 하나 이상의 금속 메시들, 포일들, 플레이트들, 또는 이들의 조합들과 같은 하나 이상의 전기 전도성 부분들로 형성된다.
일부 실시예들에서, 바이어스 전극(104)은, 동축 전력 전달 라인(106)(예컨대, 동축 케이블)과 같은 전기 전도체를 사용하여 약 -5000 V 내지 약 5000 V의 정적 DC 전압과 같은 척킹 전압을 바이어스 전극(104)에 제공하는 클램핑 네트워크(116)에 전기적으로 커플링된다. 아래에서 추가로 설명될 바와 같이, 클램핑 네트워크(116)는 ESC 클램핑 전압 보상 회로 엘리먼트들(116A), DC 전력 공급부(155), 및 ESC 클램핑 전압 보상 모듈 차단 커패시터(이는 본 명세서에서 차단 커패시터(C5)로 또한 지칭됨)를 포함한다. 차단 커패시터(C5)는 펄싱된 전압(PV) 파형 생성기(150)의 출력과 바이어스 전극(104) 사이에 배치된다.
기판 지지 조립체(136)는, 에지 링(114) 아래에 포지셔닝되고, 바이어스 전극(104)을 둘러싸고 그리고/또는 바이어스 전극(104)의 중심으로부터 일정 거리에 배치되는 에지 제어 전극(115)을 더 포함할 수 있다. 일반적으로, 원형 기판들을 프로세싱하도록 구성된 프로세싱 챔버(100)의 경우, 에지 제어 전극(115)은 형상이 환형이고, 전도성 재료로 제조되며, 바이어스 전극(104)의 적어도 일부를 둘러싸도록 구성된다. 도 1에 도시된 바와 같은 일부 실시예들에서, 에지 제어 전극(115)은 기판 지지부(105)의 구역 내에 포지셔닝된다. 일부 실시예들에서, 도 1에 예시된 바와 같이, 에지 제어 전극(115)은 기판 지지부(105)의 기판 지지 표면(105A)으로부터 바이어스 전극(104)과 유사한 거리(즉, Z 방향)에 배치되는 전도성 메시, 포일, 및/또는 플레이트를 포함한다. 일부 다른 실시예들에서, 에지 제어 전극(115)은 석영 파이프(110)의 구역 상에 또는 그 내에 포지셔닝되는 전도성 메시, 포일, 및/또는 플레이트를 포함하며, 이는 바이어스 전극(104) 및/또는 기판 지지부(105)의 적어도 일부를 둘러싼다. 대안적으로, 일부 다른 실시예들(도시되지 않음)에서, 에지 제어 전극(115)은 기판 지지부(105) 상에 그리고 그에 인접하게 배치되는 에지 링(114) 내에 포지셔닝되거나 또는 그에 커플링된다. 이러한 구성에서, 에지 링(114)은 반도체 또는 유전체 재료(예컨대, AlN 등)로 형성된다.
전력 전달 라인(157)은 제1 소스 조립체(196)의 파형 생성기(150)의 출력을 선택적인 필터 조립체(151) 및 바이어스 전극(104)에 전기적으로 연결시킨다. 아래의 논의가 파형 생성기(150)를 바이어스 전극(104)에 커플링시키는 데 사용되는 제1 소스 조립체(196)의 전력 전달 라인(157)을 주로 논의하지만, 파형 생성기(150)를 상부 전극(예컨대, 챔버 덮개(123))에 커플링시키는 제2 소스 조립체(198)의 전력 전달 라인(158)은 동일하거나 유사한 컴포넌트들을 포함할 것이다. 전력 전달 라인(157)의 다양한 부분들 내의 전기 전도체(들)는 다음을 포함할 수 있다: (a) 강성 동축 케이블과 직렬로 연결된 유연한 동축 케이블과 같은 동축 케이블들 중 하나 또는 이들의 조합, (b) 절연된 높은-전압 코로나-저항성 훅업 와이어(corona-resistant hookup wire), (c) 나선(bare wire), (d) 금속 막대(metal rod), (e) 전기 커넥터, 또는 (f) (a) 내지 (e) 내의 전기 엘리먼트들의 임의의 조합. 선택적인 필터 조립체(151)는 RF 생성기(118)의 출력에 의해 생성된 전류가 전력 전달 라인(157)을 통해 유동되고 파형 생성기(150)를 손상시키는 것을 실질적으로 방지하도록 구성된 하나 이상의 전기 엘리먼트들을 포함한다. 선택적인 필터 조립체(151)는 RF 생성기(118)에 의해 생성된 RF 신호에 대해 높은 임피던스(예컨대, 높은 Z)로서 작용하고, 따라서 파형 생성기(150)로의 전류의 유동을 억제한다.
일부 실시예들에서, CW 신호 생성기(191)는 파형 생성기(150) 내에 포함되어, 그의 출력에서 CW 신호를 생성할 수 있다. 정현파 RF 신호와 같은 CW 신호는 프로세싱 챔버(100)의 하나 이상의 전극들, 이를테면 바이어스 전극(104), 에지 제어 전극(115), 또는 이들의 임의의 조합과에 인가될 수 있다. 일 예에서, CW 신호 생성기(191)는 1 MHz 초과, 이를테면 약 13.56 MHz 내지 약 200 MHz, 이를테면 약 40 MHz 내지 60 MHz인 주파수를 갖는 RF 신호를 전달하도록 구성된다.
일부 실시예들에서, 프로세싱 챔버(100)는, 기판 지지부(105) 및/또는 지지 베이스(107)가 부식성 프로세싱 가스들 또는 플라즈마, 세정 가스들 또는 플라즈마, 또는 그의 부산물들과 접촉하는 것을 방지하기 위해 기판 지지 조립체(136)의 일부들을 적어도 부분적으로 둘러싸는 석영 파이프(110) 또는 칼라(collar)를 더 포함한다. 통상적으로, 석영 파이프(110), 절연체 플레이트(111), 및 접지 플레이트(112)는 라이너(108)에 의해 둘러싸인다. 일부 실시예들에서, 플라즈마 스크린(109)은 플라즈마가 라이너(108)와 하나 이상의 측벽들(122) 사이의 플라즈마 스크린(109) 아래의 볼륨에 형성되는 것을 방지하기 위해 라이너(108)와 측벽들(122) 사이에 포지셔닝된다.
도 2는 프로세싱 챔버(예컨대, 프로세싱 챔버(100)) 내의 기판에 확립된 예시적인 전압 파형(200)을 예시한다. 이러한 예에서, 파형(200)은 프로세싱 챔버(100) 내의 전극들, 이를테면 바이어스 전극(104) 및/또는 에지 제어 전극(115) 중 하나로의 제2 소스 조립체(198)의 파형 생성기(150)에 의한 파형의 인가로 인해 생성된다. 파형(200)은 도시된 바와 같이 이온 전류 스테이지 및 시스 붕괴(sheath collapse) 스테이지를 포함한다. 이온 전류 스테이지의 시작 시에, 하강 에지(204)에 의해 생성되는 기판 전압의 드롭은 기판 위에 형성할 높은 전압 시스를 생성하여, 포지티브 이온들을 기판으로 가속시킨다. 도시된 바와 같이, 이온 전류 스테이지 동안 기판의 표면에 충격을 가하는 포지티브 이온들은 기판 표면 상에 포지티브 전하를 증착하며, 이는 보상되지 않으면, 이온 전류 스테이지 동안 포지티브하게 기판 전압의 점진적인 증가(즉, 전압 파형(200)의 페이즈(205) 동안의 포지티브 기울기)를 야기한다. 그러나, 기판 표면 상의 포지티브 전하의 제어되지 않은 축적은 시스 및 척 커패시터들을 바람직하지 않게 점진적으로 방전시켜, 시스 전압 드롭을 느리게 감소시키고, 기판 전위를 0에 더 가깝게 만든다. 포지티브 전하의 축적은 기판에 확립된 전압 파형에서의 전압 드롭(즉, 페이즈(205) 동안의 포지티브 기울기)을 초래한다. 이온 전류 페이즈의 시작과 끝 사이의 전압 차이는 IEDF(ion energy distribution function) 폭을 결정한다. 전압 차이가 클수록, IEDF 폭이 넓어진다.
전압 파형의 일부 동안, 펄스 단계의 상승 에지(202)로 인해 플라즈마 벌크 전자들이 기판 표면으로 끌어당겨지지만, 전극(예컨대, 전극(104)) 상에 동일한 양들의 포지티브 전하가 존재하므로, 그러한 전자들은 네거티브 DC 시스 전위를 아직 확립할 수 없다. 전극과 기판 지지 표면(105A) 사이에 배치된 기판 및 유전체는 유효 커패시턴스(Cesc)를 갖는 커패시터를 형성하며, 이는 전극 상의 동일한 양의 포지티브 전하가 기판 표면 상에 배치된 전자들에 의해 생성된 필드를 상쇄시키게 허용할 것이다. 펄스 단계의 하강 에지(204)에서, 전극 상의 포지티브 전하는 파형 생성기로부터의 전자들에 의해 중화되며, 따라서 네거티브 DC 전압이 기판 표면 상에 확립된다. 형성된 DC 전압이 일정하게 유지되면, 모노-에너지 이온 충격이 달성된다. 네거티브 DC 전압(Vdc)은 다음 방정식에 따라 하강 에지의 크기(ΔV) 및 Cesc와 시스 커패시턴스(Csheath) 사이의 비율을 사용하여 대략적으로 계산될 수 있다:
Vdc = ΔV * Cesc/(Cesc + Csheath)
파형 생성을 위한 기법
디바이스 치수들이 5 nm 미만으로 스케일링 다운됨에 따라, 정확한 이온 에너지 제어가 박막 에칭 및 증착 애플리케이션들에서 더 중요해지고 있다. 본 명세서에 설명된 양상들은 플라즈마 반응기 내의 하나 이상의 전극들에 대한 이온 에너지 제어를 위한 방법들 및 시스템들을 제시한다. 일부 실시예들에서, 특히 플라즈마 챔버 내에 배치된 기판의 에지에서 또는 그 부근에서 선택도, 막 품질 및 에지 프로파일 제어를 달성하기 위해 능동 제어 모듈을 이용하여 이온 에너지 제어 방법이 채택된다. 일부 실시예들은 전극들 상에서 좁은 폭의 모노에너제틱 IED(ion energy distribution)를 달성하는 것을 용이하게 한다. 피크 에너지의 포지션 및 폭은 또한 본 명세서에서 더 상세히 설명된 바와 같이 정밀하게 제어될 수 있다.
도 3a는 본 개시내용의 특정 실시예들에 따른, 프로세싱 챔버의 상부 전극(304)(예컨대, 도 1의 챔버 덮개(123)에 대응함), 바이어스 전극(들)(104) 및 에지 전극들(예컨대, 도 1의 에지 제어 전극(115))에 바이어스 신호들을 제공하는 RF 소스들을 예시한다. 예컨대, 소스 RF 생성기(302)(예컨대, 제2 소스 조립체(198)에 대응함)는 상부 전극(304)에 제공되는 펄싱된 신호를 생성할 수 있다. 일부 실시예들에서, RF 소스 전력은 플라즈마 생성을 위해 소스 RF 생성기(302)를 통해 상부 전극(예컨대, 챔버 덮개(123))에 인가된다. RF 소스 전력의 주파수는 13.56 MHz 내지 고주파수 대역, 이를테면 대략 200 MHz일 수 있다. 몇몇 예들로서, RF 소스 전력의 주파수는 60 MHz, 120 MHz, 또는 162 MHz일 수 있다. 소스 전력의 펄싱 주파수는 100 Hz 내지 5 kHz일 수 있고, 펄싱과 연관된 듀티 사이클은 5% 내지 95%의 범위일 수 있다.
일부 예들에서, RF 소스 전력은 또한, 파형 생성기(150)의 사용에 의해 최하부 전극(예컨대, 전극(104))을 통해 전달될 수 있다. 예컨대, 전압 생성기(306)는 도 1에 관해 설명된 제1 소스 조립체(196)의 일부일 수 있고, 최하부 전극(310)(예컨대, 도 1의 전극(104)에 대응함)에 RF 소스 전력을 제공할 수 있다. 예컨대, 전압 생성기(306)는 100 kHz 내지 60 MHz의 범위의 주파수로 최하부 전극(310)(예컨대, 도 1의 전극(104))에 바이어스 전력을 인가할 수 있다. 바이어스 전력은 연속 모드 또는 펄싱된 모드 중 어느 하나로 동작될 수 있다. 일 예에서, RF 파형은 제1 소스 조립체(196)에 의해 최하부 전극(310)에 제공되며, 따라서 도 2와 관련하여 위에서 논의된 전압 파형(200)과 상이하다. RF 파형은 위에서 논의된 CW 신호 생성기(191)에 의해 제공될 수 있다.
본 개시내용의 일부 실시예들에서, CW 신호 생성기(308)는 도시된 바와 같이 에지 제어 전극(115)에 제공될 수 있는 CW(continuous wave) 바이어스 신호를 생성할 수 있다. CW 신호 생성기(308)는 도 1에 관해 설명된 CW 신호 생성기(191)를 포함할 수 있다. CW 신호는 플라즈마 프로세싱 챔버 내의 에지 전극들 상의 이온 에너지 분배의 제어를 허용한다. 다시 말하면, 50 kHz 내지 2 MHz의 주파수 범위의 저주파수 RF 전력은 하나 이상의 에지 전극들에 전달되고, 연속 모드로 소싱될 수 있다. 즉, 하나 이상의 에지 전극들에 인가되는 바이어스 신호들은 RF 타입 CW(continuous wave) 신호들일 수 있다.
본 명세서의 논의가 CW(continuous wave) 신호(예컨대, CW 바이어스 신호)를 제공하도록 구성된 RF 소스들, 이를테면 생성기들(306 또는 308)의 사용을 주로 개시하지만, RF 소스들이 또한 펄스 전압 파형을 공급하는 소스에 의해 대체될 수 있으므로, 이러한 구성은 본 개시내용의 범위에 대한 제한으로 의도되지 않는다. 일 예에서, 생성기들(306, 308) 중 하나 이상은 도 2에 예시된 파형(200)과 유사한 펄싱된 전압 파형을 전달하도록 구성되거나, 또는 이를 전달하도록 구성된 파형 생성기에 의해 대체될 수 있다.
모노에너제틱 이온 에너지 분배를 달성하기 위해, 에지 전극에 인가되는 신호는 본 명세서에 더 상세히 설명되는 바와 같이 전력 범위 내에 있도록 설정될 수 있다. 예컨대, 전극에 인가되는 바이어스 신호의 전력은, 바이어스 전압 및/또는 전력이 펄싱된 전압 파형 또는 CW 바이어스 신호의 인가로 인해 플라즈마 여기에 불충분하도록 전압 및/또는 전력 범위 내에(예컨대, 전력 임계치 미만으로) 설정될 수 있다. 놀랍게도, 모노에너제틱 이온 에너지 분배가 이러한 프로세스 체제에서 에지 전극과 같은 전극에서 달성될 수 있다는 것이 밝혀졌다. 일부 실시예들에서, 전력 임계치 미만이도록 전력을 설정하는 것은 전압 임계치 미만이도록 CW 바이어스 신호의 전압을 설정하는 것을 수반할 수 있다. 펄싱된 파형이 사용되는 일부 실시예들에서, 프로세싱 동안 전극으로의 펄싱된 파형의 전달 동안 제공되는 최대 전압, 또는 펄싱된 파형의 피크 전압(Vp)(도 2)은 원하는 임계 전압 미만으로 유지된다.
도 3a에 예시된 구성에서, CW 바이어스 신호는 CW 신호 생성기(308)를 통해 에지 제어 전극(115)에 제공되고, 전압 생성기(306)는 바이어스 신호를 최하부 전극(310)에 인가하도록 구성 및 적응된다. 일 실시예에서, 전압 생성기(306)는 펄싱된 전압 파형을 바이어스 전극(104)에 인가하도록 구성되고, CW 신호 생성기(308)는 CW 바이어스 신호를 에지 제어 전극(115)에 인가하도록 구성된다. 다른 실시예에서, 전압 생성기(306)는 RF 파형을 바이어스 전극(104)에 인가하도록 구성되고, CW 신호 생성기(308)는 CW 바이어스 신호와 같은 바이어스 신호를 에지 제어 전극(115)에 인가하도록 구성된다. 다른 실시예에서, 전압 생성기(306)는 펄싱된 전압 파형을 포함하는 바이어스 신호를 바이어스 전극(104)에 인가하도록 구성되고, CW 신호 생성기(308)는 펄싱된 전압 파형을 포함하는 바이어스 신호를 에지 제어 전극(115)에 인가하도록 구성된다. 또 다른 실시예에서, 전압 생성기(306)는 CW 바이어스 신호를 포함하는 바이어스 신호를 바이어스 전극(104)에 인가하도록 구성되고, CW 신호 생성기(308)는 펄싱된 전압 파형 신호를 포함하는 바이어스 신호를 에지 제어 전극(115)에 인가하도록 구성된다. 이들 가능한 실시예들 중 임의의 실시예에서, 펄싱된 전압 파형 또는 CW 바이어스 신호의 전력은, 바이어스 전력이 펄싱된 전압 파형 또는 CW 바이어스 신호의 인가로 인해 플라즈마 여기에 불충분하도록 하는 전압 및/또는 전력 범위 내에(즉, 전압 또는 전력 임계치 미만으로) 설정될 수 있다.
도 3b는 프로세싱 챔버의 상부 전극 및 최하부 전극에 바이어스 신호들을 제공하여, 플라즈마 프로세싱 챔버에서의 모노에너제틱 이온 에너지 분배 제어를 허용하는 전압 소스들을 예시한다. 도시된 바와 같이, 소스 RF 생성기(302)는 플라즈마 생성을 위해 상부 전극(304)에 RF 소스 전력을 제공할 수 있다. 일부 예들에서, RF 소스 전력은 최하부 전극(예컨대, 바이어스 전극(104) 또는 지지 베이스(107))에 제공될 수 있다. 다른 예들에서, RF 소스 전력은 ICP(inductively coupled plasma) 소스 전극에 제공될 수 있다.
도 3b에 예시된 구성에서, CW 바이어스 신호는 CW 신호 생성기(308)를 통해 최하부 전극(310)(예컨대, 도 1의 바이어스 전극(104) 또는 지지 베이스(107))에 제공될 수 있다. 펄싱된 전압 파형 또는 CW 바이어스 신호의 전력은, 바이어스 전력이 바이어스 신호의 인가로 인해 플라즈마 여기에 불충분하도록 하는 전력 범위 내에 설정될 수 있다. 모노에너제틱 이온 에너지 분배는 이러한 프로세스 체제에서 최하부 전극에서 달성될 수 있다. 본 명세서에서 사용되는 바와 같이, CW 바이어스 신호 또는 펄싱된 전압 파형이 제공되는 전극은 일반적으로, 에지 제어 전극(115) 또는 최하부 전극(310)과 같은 CW 전극으로 지칭된다. 논의의 용이함을 위해, 전극에 인가되는 바이어스 신호가 주로 CW 바이어스 신호로 아래에서 지칭되지만, CW 바이어스 신호가 펄싱된 전압 파형에 의해 대체될 수 있다는 것이 이해되어야 한다.
도 4는 상이한 동작 모드들을 갖는 CW 전극에서의 IED들을 보여주는 그래프(400)이다. 모든 RF 전력들(소스 및 바이어스)이 CW 모드에서 동작될 때, CW 전극 상에서 측정된 IED(402)는 낮은 에너지 피크를 갖는다. 더 높은 에너지의 바람직한 단일 피크 IED(404)는 (예컨대 소스 RF 생성기(302)로부터의) 소스 전력이 펄싱될 때 CW 전극 상에서 달성된다. 비교의 목적들을 위해, 더 낮은 에너지 IED 피크를 생성하는 데 사용되었던 소스 RF 생성기로부터의 RF 신호는 제1 전력 레벨로 그리고 연속 모드(100% 듀티 사이클)로 유지되었던 반면, 더 높은 IED 피크를 생성하는 데 사용된 RF 신호는 동일한 전력 레벨로 제공되었고, 0% 내지 100%의 듀티 사이클을 갖는 펄싱된 모드에서 동작된다. 더 높은 바이어스 전력이 CW 전극에서 사용되면, IED가 더 높은 에너지를 향해 시프트된다는 것을 유의할 것이다.
도 5는 상이한 펄싱된 소스 전력 듀티 사이클들을 갖는 CW 전극에서의 IED 비교를 보여주는 그래프(500)이다. 설명된 바와 같이, 소스 RF 생성기(302)로부터의 소스 전력이 펄싱될 수 있다. 펄싱된 신호는 상이한 듀티 사이클들(예컨대, 25%, 50%, 및 75%)로 설정될 수 있다. CW 전극 상의 더 좁은 IED는 더 긴 소스 전력 듀티 사이클을 이용하여 달성될 수 있다. 다시 말하면, IED(예컨대, 피크(502)와 연관됨)는 25% 듀티 사이클에 대한 IED(예컨대, 피크(506)와 연관됨)와 비교하여 75% 듀티 사이클의 경우 더 좁다. 반면에, 더 높은 이온 에너지 단일 피크(506)는 바람직하게, 더 짧은 소스 전력 듀티 사이클(예컨대, 25% 듀티 사이클)을 이용하여 달성될 수 있다.
도 6은 CW 전극 상에서 측정된 바와 같은 전압 파형(602) 및 소스 RF 생성기(302)에 의해 상부 전극(304)과 같은 전극에 제공되는 펄싱 신호(604)(예컨대, 펄싱된 RF 신호)를 예시한다. 도시된 바와 같이, 소스 전력은 펄싱된 모드에 있고, CW 바이어스 전력은 CW 모드에 있다. 소스 전력이 오프될 때, CW 전극 상의 전압은 점차 네거티브가 되어, 높은 에너지 모노에너제틱 IED를 초래한다. 다시 말하면, 펄싱 신호(604)가 더 길게 오프될수록, CW 전극 상의 전압은 더 네거티브가 될 것이며, 이는 도 5의 그래프(500)에 보여지는 바와 같이 더 높은 에너지를 초래한다. 따라서, 도 5에 도시된 바와 같이, 25% 듀티 사이클 펄싱 신호(예컨대, 여기서 펄싱 신호는 펄싱 신호의 기간 중 75% 동안 오프임)에 대해, 결과적인 피크는 더 높은 에너지와 연관되지만, 더 낮은 IED 크기를 갖는다.
CW 전극에 공급되는 전력은 모노에너제틱 IED를 구현하기 위해 특정한 일정 전력으로 설정될 수 있다. 도 5에 도시된 바와 같이, 75% 듀티 사이클과 연관된 피크(502)는 50% 듀티 사이클과 연관된 피크(504)보다 높은 크기를 갖고, 피크(504)는 25% 듀티 사이클과 연관된 피크(506)보다 높은 크기를 갖는다. 모노에너제틱 IED를 구현하기 위해, CW 바이어스 신호 생성기에 의해 공급되는 전력은 특정 전력 임계치(또는 전압 임계치) 미만이도록 설정될 수 있다. 임계치는 도 7a에 관해 더 상세히 설명되는 바와 같이, 다양한 인자들, 이를테면 압력, 전극들 사이의 갭(예컨대, 도 3a 및 도 3b의 갭(350)), 및 챔버와 연관된 케미스트리들에 기반하여 설정될 수 있다.
도 7a는 주어진 프로세싱 챔버(100) 구성에 대해 상이한 프로세스 압력들 하에서 그리고 상이한 프로세스 케미스트리들을 사용하여, CW 바이어스 신호와 연관된 전력 범위를 예시하는 하나 이상의 전력 범위 곡선들(701A 및 701B)을 포함하는 그래프(700)의 일 예이다. CW 전극에 공급되는 전력은, RF 전력이 플라즈마 여기에 불충분한 범위 내에 있다. 일 실시예에서, 도 7a에 예시된 바와 같이, 그래프(700)는, 알려진 챔버 구성에서 전극에 인가될 수 있고 플라즈마를 생성하지 않을 수 있는 프로세싱 압력의 함수로서, 주어진 프로세스 케미스트리에 대해 전극에 인가될 수 있는 최대 전력을 각각 예시하는 2개의 곡선들을 포함한다. 대안적인 실시예들에서, 그래프(700)는, 알려진 챔버 구성에서 전극에 인가될 수 있고 플라즈마를 생성하지 않을 수 있는 프로세싱 압력의 함수로서, 알려진 프로세스 케미스트리에 대해 전극에 인가될 수 있는 최대 전압을 예시하는 곡선을 포함할 수 있다. 도 7a에 도시된 바와 같이, CW 바이어스 신호의 전달에 의한 플라즈마 생성을 회피하기 위해 더 높은 RF 전력이 더 낮은 압력에서 CW 전극에 인가될 수 있다. 전기음성 프로세스 케미스트리들, 예컨대 아르곤(Ar)/염소(Cl2)/이산소(dioxygen)(O2)는 Ar 케미스트리들과 비교하여 플라즈마 여기가 생성하기 전에 더 높은 전력들에서 동작할 수 있다. 게다가, 압력 범위는 1 mTorr 내지 500 mTorr일 수 있다. 플라즈마 여기에 불충분한 전력 임계치(예컨대, 전압 임계치)는 또한, CW 전극과 접지된 표면 또는 챔버에 배치된 상대(counter) 전극 사이에 형성된 갭에 의존할 수 있다. 예컨대, 도 3b를 다시 참조하면, CW 신호가 인가되는 CW 전극(예컨대, 최하부 전극(310) 또는 에지 제어 전극(115))과 소스 전력이 인가되는 상부 전극(304) 사이에 갭(350)이 존재할 수 있다. 따라서, CW 신호의 전력(또는 전압)은 설명된 바와 같이 특정 갭(350)에 대해 결정될 수 있다. 일부 실시예들에서, 갭(350)은 프로세싱 볼륨 내의 원하는 위치에 CW 전극을 포지셔닝시키도록 구성되는 액추에이터(도시되지 않음) 또는 다른 조정가능 장착 하드웨어의 사용에 의해 프로세싱 이전 또는 그 동안 조정될 수 있다.
일부 실시예들에서, CW 신호의 전달로 인한 플라즈마의 생성을 회피하기 위해 CW 전극에 제공되는 전력에 대한 제한은, 원하는 프로세스 체제에 걸쳐 파센 곡선을 실험적으로 생성하고, 이어서 플라즈마가 생성될 포인트 아래의 레벨로 프로세싱 동안 프로세스 파라미터들을 유지함으로써, 주어진 프로세스 케미스트리 및 챔버 구성에 대해 결정될 수 있다. 공통 가스들에 대해 생성된 5개의 상이한 파센 곡선들의 일 예가 도 7b에 예시되어 있다. 그러나, 파센 곡선의 특성들은 프로세스 케미스트리 및 챔버 구성에 의존하며, 따라서 챔버 구성 및 프로세스 케미스트리 파라미터들 중 하나 이상이 변경됨에 따라 생성될 필요가 있을 것이다. 주어진 가스 케미스트리에 대해, 생성된 파센 곡선에 의해 정의되는 주어진 압력 곱하기 갭 거리(예컨대, 도 7b의 Torr-cm 단위의 "pd")에서 전압 레벨 미만으로 유지됨으로써, 아크 및 그에 따른 플라즈마가 CW 전극에 제공되는 신호로 인해 생성되지 않을 것이라는 것이 보장될 수 있다고 여겨진다.
도 7a에 예시된 곡선들과 동일하거나 유사한 곡선들의 생성, 또는 도 7b에 도시된 곡선들과 유사한 생성된 파센 곡선에 기반하여, 플라즈마 프로세싱 프로세스 동안 실행될 주어진 플라즈마 프로세싱 레시피(예컨대, CW 제공된 전력, 가스 조성(gas composition), 챔버 구성)에 대한 시스템 제어기(126)는, 인가된 전압 및/또는 전력이 프로세싱 볼륨에서 플라즈마를 생성할 레벨 미만으로 유지되는 것을 보장하기 위해 CW 전극에 제공되는 전력을 제어 및/또는 제한하는 데 사용될 수 있다. 위에서 논의된 바와 같이, 인가되는 제어된 또는 제한된 전압 및/또는 전력은 CW 신호 생성기(308)로부터의 CW 바이어스 신호 또는 펄싱된 전압 파형의 전달에 의해 제공될 수 있다. 그러나, 인가되는 제어된 또는 제한된 전압 및/또는 전력은 또한 생성기들(306 및 308) 중 하나 이상에 의해 제공될 수 있다.
플라즈마 프로세스의 결과들을 바람직하게 제어하기 위한 노력으로, 소스 전력(예컨대, 소스 RF 생성기(302)에 의해 생성된 펄싱된 신호)의 듀티 사이클은 이온 에너지와 이온 에너지 분배 크기 사이의 트레이드오프에 기반하여 결정될 수 있다. 트레이드오프는 도 5에 관해 설명된 바와 같이, 듀티 사이클을 증가시키는 것과 연관된 더 큰 이온 에너지 분배 크기 및 더 낮은 이온 에너지를 특징으로 한다. 예컨대, 더 낮은 듀티 사이클(예컨대, 25%)은 그래프(500)에 의해 도시된 바와 같이, 더 높은 에너지를 획득하도록 선택될 수 있지만, 이온 에너지 크기는 낮아지는 트레이드오프가 있다.
더 낮은 듀티 사이클 크기는 임의의 적합한 기법을 사용하여 보상될 수 있다. 그러한 기법은 소스 전력(예컨대, 소스 RF 생성기(302)에 의해 생성된 펄싱된 신호의 전력)을 증가시키는 것, 프로세싱 시간을 증가시키는 것, 챔버 내부의 압력을 증가시키는 것, 또는 이들의 임의의 조합을 포함할 수 있다. 본 명세서에 설명된 실시예들은 균일성 제어를 위해 CW 전극들 상에서 좁은 폭의 모노에너제틱 IED를 허용한다. 단일 IED 피크는 CW 전극에 전달되는 전력 및 펄싱된 소스 전력의 듀티 사이클에 의해 제어될 수 있다.
도 8은 플라즈마 프로세싱을 위한 방법(800)을 예시하는 프로세스 흐름도이다. 방법(800)은 프로세싱 시스템에 의해 수행될 수 있다. 프로세싱 시스템은 전압 생성 컴포넌트들(예컨대, 제1 소스 조립체(196, 198) 및 CW 신호 생성기(191)) 및 시스템 제어기, 이를테면 시스템 제어기(126)를 포함할 수 있다.
방법(800)은 활동(802)에서, 프로세싱 시스템이 프로세싱 챔버의 제1 전극에 인가될 바이어스 신호와 연관된 전압 및/또는 전력을 결정하는 것으로 시작된다. 일 구성에서, 제1 전극은 CW 전극, 이를테면 바이어스 전극(104), 에지 제어 전극(115), 또는 이들의 임의의 조합이다. 전압 및/또는 전력은, 전압이 제1 전극에 대한 전압의 인가에 의해 챔버 내부에서 플라즈마를 생성하기에 불충분하도록 챔버 구성, 가스 조성 및 프로세싱 챔버의 프로세싱 구역 내부의 압력에 기반하여 결정될 수 있다. 일부 실시예들에서, 전압 및/또는 전력은 원하는 프로세스 레시피 및 알려진 챔버 구성에 대해 프로세스 레시피 파라미터들(예컨대, 가스 조성, 프로세스 압력 설정 포인트(들), 및 전극 간격(들)(예컨대, 갭))을 도 7a 및 도 7b에 예시된 곡선들 중 하나 이상과 비교함으로써 결정될 수 있다. 비교는 시스템 제어기(126)의 메모리에 저장된 곡선 관련 정보(예컨대, 전력 범위 곡선(예컨대, 도 7a) 또는 파센 곡선(예컨대, 도 7b))를 원하는 프로세스 레시피 파라미터들과 비교함으로써 완료될 수 있다.
활동(804)에서, 프로세싱 시스템은 결정된 전압 및/또는 전력에 따라 제1 바이어스 신호(예컨대, CW 신호)를 제1 전극에 인가하고, 활동(806)에서, 제2 바이어스 신호(예컨대, 소스 RF 생성기(302)를 통해 생성된 소스 전력)를 프로세싱 챔버의 제2 전극에 인가하며, 여기서 제2 바이어스 신호는 프로세싱 구역에서 플라즈마를 생성하도록 구성되고, 제2 바이어스가 인가되는 동안 제1 바이어스가 인가된다. 일부 구성들에서, 제2 전극은 상부 전극(304)(도 3a 및 도 3b) 또는 지지 베이스(107)이다. 제1 전극에 인가되는 전압 또는 전력은 (예컨대, 활동(802)에서) 프로세싱 챔버의 프로세싱 구역 내부에 형성된 특정 갭에 대한 압력에 기반하여 결정된다. 갭은, 도 3a 및 도 3b에 예시된 바와 같이 제1 전극 및 제2 전극이 서로 대향하는 구성으로 제1 전극과 제2 전극 사이에 형성되거나, 또는 프로세싱 챔버(100)의 프로세싱 볼륨(129)의 적어도 일부(예컨대, 챔버 덮개(123))를 정의하는 데 사용되는 접지된 표면과 제1 전극 사이에 형성될 수 있다.
일부 실시예들에서, 프로세싱 시스템은 제3 바이어스 신호(예컨대, CW 신호)를 프로세싱 챔버의 제3 전극에 추가로 인가한다. 제1 전극 및 제3 전극은 프로세싱 구역 내에 배치된 기판 지지 조립체 내에 그리고 기판 지지 조립체의 기판 지지 표면으로부터 일정 거리에 포지셔닝될 수 있다. 예컨대, 제1 전극 및 제3 전극은 각각 에지 전극(예컨대, 에지 제어 전극(115)) 및 척킹 전극(예컨대, 바이어스 전극(104))일 수 있다.
일부 실시예들에서, 전압 및/또는 전력은 프로세싱 챔버의 프로세싱 구역 내에 배치된 가스 조성에 기반하여 추가로 결정된다. 본 명세서에 설명된 바와 같이, 전압 및/또는 전력은 전압이 챔버 내부에서 플라즈마를 생성하기에 불충분하도록 전압 및/또는 전력 임계치 미만이도록 결정될 수 있다. 예컨대, 전압 및/또는 전력은 모노에너제틱 피크가 플라즈마 프로세싱을 위해 생성되도록 전압 및/또는 전력 임계치 미만이도록 결정될 수 있다.
일부 실시예들에서, 제2 바이어스 신호는 (예컨대, 도 6에 도시된 바와 같이) 온 기간 및 오프 기간을 갖는 펄싱된 신호이다. 펄싱된 신호와 연관된 듀티 사이클은 듀티 사이클에 대한 조정들과 연관된 이온 에너지와 이온 에너지 분배 크기 사이의 트레이드오프에 기반하여 결정될 수 있다. 트레이드오프는 듀티 사이클을 증가시키는 것과 연관된 더 큰 이온 에너지 분배 크기 및 더 낮은 이온 에너지를 특징으로 한다. 설명된 바와 같이, 제1 전극에서의 전압은 온 기간 동안 증가하고, 오프 기간 동안 감소한다.
용어 "커플링된"은, 2개의 오브젝트들 사이에서의 직접적인 또는 간접적인 커플링을 지칭하기 위해 본 명세서에서 사용된다. 예컨대, 오브젝트 A가 오브젝트 B를 물리적으로 터치하고 오브젝트 B가 오브젝트 C를 터치하면, 오브젝트들 A 및 C는, 오브젝트들 A 및 C가 서로를 물리적으로 직접 터치하지 않더라도, 서로 커플링된 것으로 여전히 고려될 수 있다. 예컨대, 제1 오브젝트가 제2 오브젝트와 결코 직접 물리적으로 접촉하지 않더라도, 제1 오브젝트는 제2 오브젝트에 커플링될 수 있다.
전술한 것이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위를 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 후속하는 청구항들에 의해 결정된다.

Claims (20)

  1. 플라즈마 프로세싱을 위한 방법으로서,
    프로세싱 챔버의 제1 전극에 인가될 제1 바이어스 신호와 연관된 전압 및/또는 전력을 결정하는 단계 - 상기 전압은, 상기 전압이 상기 제1 전극에 대한 상기 전압 및/또는 전력의 인가에 의해 상기 프로세싱 챔버 내부에서 플라즈마를 생성하기에 불충분하도록 상기 프로세싱 챔버의 프로세싱 구역 내부의 압력에 기반하여 결정됨 -;
    상기 결정된 전압 및/또는 전력에 따라 상기 제1 바이어스 신호를 상기 제1 전극에 인가하는 단계; 및
    상기 프로세싱 챔버의 제2 전극에 제2 바이어스 신호를 인가하는 단계를 포함하며, 상기 제2 바이어스 신호는 상기 프로세싱 구역에서 플라즈마를 생성하도록 구성되고, 상기 제2 바이어스 신호가 인가되는 동안 상기 제1 바이어스 신호가 인가되는, 플라즈마 프로세싱을 위한 방법.
  2. 제1항에 있어서,
    상기 전압은 상기 프로세싱 챔버의 상기 프로세싱 구역 내부의 특정 갭에 대한 압력에 기반하여 결정되며, 상기 갭은 상기 제1 전극과 상기 제2 전극 사이에, 또는 상기 프로세싱 구역의 적어도 일부를 정의하는 접지된 표면과 상기 제1 전극 사이에 존재하는, 플라즈마 프로세싱을 위한 방법.
  3. 제1항에 있어서,
    상기 프로세싱 챔버의 제3 전극에 제3 바이어스 신호를 인가하는 단계를 더 포함하며, 상기 제1 전극 및 상기 제3 전극은 상기 프로세싱 구역 내에 배치된 기판 지지 조립체 내에 그리고 상기 기판 지지 조립체의 기판 지지 표면으로부터 일정 거리에 포지셔닝되는, 플라즈마 프로세싱을 위한 방법.
  4. 제1항에 있어서,
    상기 제1 바이어스 신호는 CW(continuous wave) 신호를 포함하는, 플라즈마 프로세싱을 위한 방법.
  5. 제1항에 있어서,
    상기 전압 및/또는 전력은 상기 프로세싱 챔버의 상기 프로세싱 구역 내에 배치된 가스 조성(gas composition)에 기반하여 추가로 결정되는, 플라즈마 프로세싱을 위한 방법.
  6. 제1항에 있어서,
    상기 제1 전극은 기판 지지 조립체의 에지 전극을 포함하는, 플라즈마 프로세싱을 위한 방법.
  7. 제1항에 있어서,
    상기 전압 및/또는 전력은 상기 전압이 상기 프로세싱 챔버 내부에서 상기 플라즈마를 생성하기에 불충분하도록 임계치 미만이도록 결정되는, 플라즈마 프로세싱을 위한 방법.
  8. 제1항에 있어서,
    상기 전압 및/또는 전력은 모노에너제틱(monoenergetic) 피크가 플라즈마 프로세싱을 위해 생성되도록 임계치 미만이도록 결정되는, 플라즈마 프로세싱을 위한 방법.
  9. 제1항에 있어서,
    상기 제2 바이어스 신호는 온 기간 및 오프 기간을 포함하는 펄싱된 신호를 포함하며, 상기 펄싱된 신호와 연관된 듀티 사이클은 상기 듀티 사이클에 대한 조정들과 연관된 이온 에너지와 이온 에너지 분배 크기 사이의 트레이드오프에 기반하여 결정되는, 플라즈마 프로세싱을 위한 방법.
  10. 제9항에 있어서,
    상기 트레이드오프는 상기 듀티 사이클을 증가시키는 것과 연관된 더 큰 이온 에너지 분배 크기 및 더 낮은 이온 에너지를 특징으로 하는, 플라즈마 프로세싱을 위한 방법.
  11. 제1항에 있어서,
    상기 제2 바이어스 신호는 온 기간 및 오프 기간을 포함하는 펄싱된 신호를 포함하고, 상기 제1 전극에서의 전압은 상기 온 기간 동안 증가하고, 상기 오프 기간 동안 감소하는, 플라즈마 프로세싱을 위한 방법.
  12. 플라즈마 프로세싱을 위한 장치로서,
    실행가능 명령들을 갖는 메모리; 및
    제어기를 포함하며,
    상기 제어기는 상기 실행가능 명령들을 실행하여,
    제1 소스 생성기로 하여금, 전압 및/또는 전력에 따라 프로세싱 챔버의 제1 전극에 제1 바이어스 신호를 인가하게 하고 - 상기 전압 및/또는 전력은, 상기 전압이 상기 제1 전극에 대한 상기 전압 및/또는 전력의 인가에 의해 상기 프로세싱 챔버 내부에서 플라즈마를 생성하기에 불충분하도록 상기 프로세싱 챔버의 프로세싱 구역 내부의 압력에 기반하여 결정됨 -; 그리고
    제2 바이어스 생성기로 하여금, 상기 프로세싱 챔버의 제2 전극에 제2 바이어스 신호를 인가하게 하도록
    구성되는, 플라즈마 프로세싱을 위한 장치.
  13. 제12항에 있어서,
    상기 전압 및/또는 전력은 상기 프로세싱 챔버의 상기 프로세싱 구역 내부의 특정 갭에 대한 압력에 기반하여 결정되며, 상기 갭은 상기 제1 전극과 상기 제2 전극 사이에, 또는 상기 프로세싱 구역의 적어도 일부를 정의하는 접지된 표면과 상기 제1 전극 사이에 존재하는, 플라즈마 프로세싱을 위한 장치.
  14. 제12항에 있어서,
    상기 제어기는, 제3 바이어스 생성기로 하여금, 상기 프로세싱 챔버의 제3 전극에 제3 바이어스 신호를 인가하게 하도록 구성되며, 상기 제1 전극 및 상기 제3 전극은 상기 프로세싱 구역 내에 배치된 기판 지지 조립체 내에 그리고 상기 기판 지지 조립체의 기판 지지 표면으로부터 일정 거리에 포지셔닝되는, 플라즈마 프로세싱을 위한 장치.
  15. 제12항에 있어서,
    상기 제1 바이어스 신호는 CW(continuous wave) 신호를 포함하는, 플라즈마 프로세싱을 위한 장치.
  16. 제12항에 있어서,
    상기 전압은 상기 프로세싱 챔버의 상기 프로세싱 구역 내에 배치된 가스 조성에 기반하여 추가로 결정되는, 플라즈마 프로세싱을 위한 장치.
  17. 제12항에 있어서,
    상기 제1 전극은 기판 지지 조립체의 에지 전극을 포함하는, 플라즈마 프로세싱을 위한 장치.
  18. 제12항에 있어서,
    상기 전압 및/또는 전력은 상기 전압이 상기 프로세싱 챔버 내부에서 상기 플라즈마를 생성하기에 불충분하도록 전압 임계치 미만이도록 결정되는, 플라즈마 프로세싱을 위한 장치.
  19. 제12항에 있어서,
    상기 전압 및/또는 전력은 모노에너제틱 피크가 플라즈마 프로세싱을 위해 생성되도록 전압 임계치 미만이도록 결정되는, 플라즈마 프로세싱을 위한 장치.
  20. 제12항에 있어서,
    상기 제2 바이어스 신호는 온 기간 및 오프 기간을 포함하는 펄싱된 신호를 포함하며, 상기 펄싱된 신호와 연관된 듀티 사이클은 상기 듀티 사이클에 대한 조정들과 연관된 이온 에너지와 이온 에너지 분배 크기 사이의 트레이드오프에 기반하여 결정되고, 상기 트레이드오프는 상기 듀티 사이클을 증가시키는 것과 연관된 더 큰 이온 에너지 분배 크기 및 더 낮은 이온 에너지를 특징으로 하는, 플라즈마 프로세싱을 위한 장치.
KR1020247017231A 2021-11-29 2022-11-01 플라즈마 반응기 내의 전극들에 대한 이온 에너지 제어 KR20240090877A (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17/537,107 2021-11-29

Publications (1)

Publication Number Publication Date
KR20240090877A true KR20240090877A (ko) 2024-06-21

Family

ID=

Similar Documents

Publication Publication Date Title
KR101033374B1 (ko) 펄스화된 vhf 동작에 의한 플라즈마 종 및 균일성 제어
KR20190082721A (ko) 플라즈마 처리 장치
US11476090B1 (en) Voltage pulse time-domain multiplexing
US11569066B2 (en) Pulsed voltage source for plasma processing applications
WO2023043558A1 (en) Distortion current mitigation in a radio frequency plasma processing chamber
US20220399193A1 (en) Plasma uniformity control in pulsed dc plasma chamber
WO2018233455A1 (zh) 偏压调制方法、偏压调制***和等离子体处理设备
WO2022260836A1 (en) Plasma chamber and chamber component cleaning methods
US20230170194A1 (en) Ion energy control on electrodes in a plasma reactor
KR20240011171A (ko) 이온 에너지 제어를 갖는 플라즈마 여기
KR20240090877A (ko) 플라즈마 반응기 내의 전극들에 대한 이온 에너지 제어
US20240194446A1 (en) Chamber impedance management in a processing chamber
US20240153741A1 (en) Multi-shape voltage pulse trains for uniformity and etch profile tuning
US20240162007A1 (en) Reducing aspect ratio dependent etch with direct current bias pulsing
US20240177969A1 (en) Solid-state switch based high-speed pulser with plasma iedf modification capability through multilevel output functionality
US20240177968A1 (en) System and methods for implementing a micro pulsing scheme using dual independent pulsers
US20230071168A1 (en) Method and apparatus for digital control of ion energy distribution in a plasma
EP4393001A1 (en) Voltage pulse time-domain multiplexing