KR20040081150A - 반도체 공정 챔버 내에서 사용하기 위한 부품 및 그것을제조하는 방법 - Google Patents

반도체 공정 챔버 내에서 사용하기 위한 부품 및 그것을제조하는 방법 Download PDF

Info

Publication number
KR20040081150A
KR20040081150A KR10-2004-7011605A KR20047011605A KR20040081150A KR 20040081150 A KR20040081150 A KR 20040081150A KR 20047011605 A KR20047011605 A KR 20047011605A KR 20040081150 A KR20040081150 A KR 20040081150A
Authority
KR
South Korea
Prior art keywords
aluminum
coating
aluminum fluoride
fluoride
percent
Prior art date
Application number
KR10-2004-7011605A
Other languages
English (en)
Other versions
KR101012812B1 (ko
Inventor
람키샨 라오 링감팔리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040081150A publication Critical patent/KR20040081150A/ko
Application granted granted Critical
Publication of KR101012812B1 publication Critical patent/KR101012812B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/21Circular sheet or circular blank
    • Y10T428/218Aperture containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 적용에 사용하기 위해 보호 코팅을 갖는 부품 및 그것을 제조하기 위한 방법이 제공된다. 일 실시예로, 반도체 증착 챔버 내에서 사용되는 부품의 알루미늄 표면을 코팅하는 방법은, 알루미늄 불화물 및 마그네슘 불화물로 이루어진 군으로부터 적어도 하나의 물질을 포함하는 코팅물질을 반액체 상태로 가열하고, 상기 가열된 코팅물질을 상기 알루미늄 표면 상에 증착하는 단계들을 포함한다. 상기 보호 코팅은 알루미늄에 강한 접착력을 갖고 균열(cracking), 조각남(flaking) 및 벗겨짐(peeling)에 내성이 있는 약 10 퍼센트 미만의 베타 상 그레인 방위를 갖는다. 유익하게 코팅될 수 있는 몇 가지 부품들은 다른 것들 중에서 샤워헤드들, 차단 플레이트들, 지지부 어셈블리들 및 진공 챔버 본체들을 포함한다.

Description

반도체 공정 챔버 내에서 사용하기 위한 부품 및 그것을 제조하는 방법{Article for use in a semiconductor processing chamber and method of fabricating the same}
집적회로들은 단일칩 상에 수백만개의 트랜지스터들, 커패시터들 및 저항체들을 포함할 수 있는 복잡한 소자들로 발전하여 왔다. 칩 설계의 발전은 더욱 더 단순한 제조기술들 및 공정들을 요구하는 더 빠른 회로와 더 큰 회로밀도를 계속해서 필요로 한다. 자주 사용되는 하나의 제조 공정이 화학기상증착(chemical vapor deposition; CVD)이다.
화학기상증착은 일반적으로 기판 또는 반도체 웨이퍼 상에 박막을 증착하기 위해 채택된다. 화학기상증착은 일반적으로 전구체(precursor) 가스를 진공챔버 내로 공급하여 수행된다. 상기 전구체 가스는 전형적으로 상기 챔버의 상부 근처에 위치하는 샤워헤드(showerhead)를 통해 인도된다. 상기 전구체 가스는 반응하여, 전형적으로 알루미늄으로 제조되어 가열된 기판지지부 위에 위치하는, 상기 기판의 표면 상에 물질층을 형성한다. 퍼지 가스(purge gas)가 상기 지지부 내의 홀들을통해 상기 기판의 가장자리로 인도되어 상기 기판 모서리에서 상기 기판이 상기 지지부에 달라붙게 할 수 있는 증착을 방지한다. 상기 반응 동안 생성된 증착 부산물들(by-products)은 배출시스템을 통해 상기 챔버에서 펌핑된다. 화학기상증착 공정을 사용하여 기판들 상에 종종 형성되는 물질이 텅스텐이다. 텅스텐을 형성하기 위해 사용될 수 있는 전구체 가스는 일반적으로 텅스텐 헥사플로오르화물(WF6) 및 실란(silane)을 포함한다. 상기 실란과 텅스텐 헥사플로오르화물이 혼합됨에 따라, 약간의 "스트레이(stray)" 텅스텐, 즉 상기 기판 상에 증착되지 않는 텅스텐이 상기 샤워헤드 또는 다른 챔버 구성요소들 상에 증착된다. 상기 스트레이 텅스텐 막은 상기 샤워헤드에 쌓여 상기 챔버 내에서 오염원이 될 수 있다. 결국, 상기 스트레이 텅스텐은 상기 전구체 가스의 통과를 용이하게 하는 상기 샤워헤드 내의 홀들을 막을 수 있으며 상기 샤워헤드가 제거되어 세정되거나 교체될 것을 요하게 한다.
상기 샤워헤드의 통상적인 보수(routine maintenance) 사이의 시간 간격을 연장하기 위해, 불소에 기초한(fluorine-based) 화학반응들이 일반적으로 상기 스트레이 텅스텐 막을 세정, 즉 식각하기 위해 사용된다. 그러나, 불소의 사용은, 텅스텐 제거에 이점이 있는 반면에, CVD 챔버들 내에 보통 사용되는 물질인 알루미늄으로 제조된 상기 가열된 지지부 및 다른 표면들 상에 알루미늄 불화(aluminum fluoride)층을 형성한다. 이러한 방식으로 형성된 상기 알루미늄 불화층은 일반적으로 거친 표면 지형(topography)을 갖는다. 상기 가열된 알루미늄 지지부 상의 알루미늄 불화층의 거친 표면은 상기 기판을 상기 가열된 지지부에 고정(chuck) 또는유지하기 위해 사용되는 진공을 손상시키는 누설통로를 생성한다. 이에 더하여, 상기 알루미늄 불화층은 상기 가열된 지지부의 열 순환(cycling) 과정에서 종종 균열되고 벗겨지며, 따라서 파티클의(particulate) 오염원이 된다.
가열된 알루미늄 지지부들 상에 알루미늄 불화물이 형성되는 것에 대한 한가지 해결책은 불소에 내성이 있는 세라믹 물질들로 상기 히터를 제조하는 것이다. 그러나, 세라믹 지지부들은 제조가 어렵고, 결과적으로 CVD 공정들에서 사용되는 종래의 알루미늄 히터들에 비해 비용이 매우 많이 든다.
상기 알루미늄 지지부와 불소의 반응을 방지하는 또 다른 방법은 상기 기판 상에 알루미늄 불화 장벽층을 증착하는 것이다. 그러나, 상기 지지부에 알루미늄 불화물을 적용하는 종래의 방법들은 약 20 내지 약 30 퍼센트의 베타 상(beta phase) 그레인 구조와 약 70 내지 약 80 퍼센트의 알파 상(alpha phase) 그레인 구조를 갖는 알루미늄 불화 장벽층들로 귀결된다. 약 10 퍼센트 이상의 베타 상 그레인 구조를 갖는 알루미늄 불화층들은 알루미늄에 잘 접착되지 않으며 또한 균열되기 쉽다. 상기 알루미늄 불화 장벽층이 균열되면, 하지(underlying) 알루미늄과 반응하는 불소가 상기 층 하부에 알루미늄 불화물의 추가적인 성장을 유발하고, 결과적으로 상기 알루미늄 불화 장벽층을 상기 지지부로부터 분리시킨다. 상기 벗겨진 장벽층으로부터 발생된 알루미늄 불화물의 파편들은 공정 수율에 해로운 파티클의 오염원이다. 상기 공정 챔버 내의 다른 알루미늄 표면들은 유사한 문제점들을 갖는다.
그러므로, 반도체 공정 챔버들 내의 알루미늄 표면들을 보호하는 코팅이 요구된다.
본 발명의 실시예들은 일반적으로 반도체 공정 챔버 내에서 사용하기 위한 보호 코팅을 갖는 부품 및 그것을 제조하는 방법에 관한 것이다.
위에 간략히 요약된 본 발명의 더욱 상세한 설명은 첨부된 도면들에 도시된 실시예들을 참조하여 제공될 수 있다. 그러나, 상기 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 도시하며, 따라서 본 발명은 다른 동등한 효과를 갖는 실시예들을 허용할 수 있기 때문에 권리범위를 제한하는 것으로 간주되지 않는다.
도 1은 내부에 히터 어셈블리를 갖는 공정 챔버의 일 실시예의 개략적인 단면도를 나타낸다.
도 2는 도 1에 나타낸 상기 히터 어셈블리의 일 실시예의 부분 단면도를 나타낸다.
도 3은 도 2에 나타낸 상기 히터 어셈블리의 평면도를 나타낸다.
도 4는 히터 어셈블리를 제조하는 방법의 일 실시예의 공정 흐름도를 나타낸다.
도 5는 히터 어셈블리를 제조하는 방법의 또 다른 실시예의 공정 흐름도를 나타낸다.
도 6은 히터 어셈블리의 또 다른 실시예의 부분 단면도를 나타낸다.
이해를 돕기 위해, 도면들에 공통인 동일한 구성요소들을 지시하기 위해 가능한 한 동일한 참조번호들이 사용된다.
반도체 적용에 사용하기 위해 보호코팅을 갖는 부품 및 그것을 제조하기 위한 방법이 제공된다. 일 태양에서, 반도체 공정 챔버 내에서 사용하기 위한 부품은 반액체(semi-liquid), 반고체(semi-solid) 상태로 챔버 구성 요소의 알루미늄 표면에 적용된 알루미늄 불화(aluminum fluoride)층 또는 마그네슘 불화(magnesium fluoride)층을 구비하는 코팅을 포함한다.
또 다른 태양에서, 보호코팅을 갖는 기판지지부가 제공된다. 일 실시예에서, 상기 기판지지부는, 내부에 배치된 가열요소를 갖는 지지본체(support body)를 포함한다. 알루미늄 불화층 또는 마그네슘 불화층을 포함하는 코팅이 반액체, 반고체 상태에서 상기 지지본체의 알루미늄 표면에 적용된다.
또 다른 태양에서, 반도체 증착 챔버 내에서 사용되는 부품의 알루미늄 표면을 코팅하는 방법이 제공된다. 일 실시예에서, 반도체 증착 챔버 내에서 사용되는 부품의 알루미늄 표면을 코팅하는 방법은, 알루미늄 불화물 및 마그네슘 불화물로 이루어진 군으로부터 적어도 하나의 물질을 포함하는 코팅물질을 반액체, 반고체 상태로 가열하고, 상기 가열된 코팅물질을 상기 알루미늄 표면 상에 증착하는 단계들을 포함한다. 상기 보호코팅은, 알루미늄에 강한 접착력을 제공하고 균열(cracking), 조각남(flaking) 및 벗겨짐(peeling)에 내성이 있는, 약 10 퍼센트 이하의 베타 상 그레인 방위를 갖는다. 이러한 방법을 사용하여 유익하게 코팅될 수 있는 몇몇 부품들은 다른 것들 중 샤워헤드들(showerheads), 차단 플레이트들(blocker plates), 지지부 어셈블리들 및 진공 챔버 본체들을 포함한다.
또 다른 태양에서, 기판지지부 상의 알루미늄 표면을 코팅하는 방법은, 비활성 가스로 플라즈마를 형성하고, 상기 플라즈마로 알루미늄 불화물을 가열하고, 상기 가열된 알루미늄 불화물을 상기 알루미늄 표면 상에 분무하는 단계들을 포함한다.
본 발명은 일반적으로 텅스텐 또는 다른 막들을 증착하기에 유리한 코팅된 알루미늄 표면들을 갖는 공정 시스템을 제공한다. 본 발명은 화학기상증착(chemical vapor deposition) 시스템, 예컨대 미국 캘리포니아 산타클라라의 어플라이드 머티어리얼즈 사(Applied Materials, Inc.)로부터 입수가능한 W×ZTM 금속 화학기상증착(MCVD) 시스템으로서 아래에 도식적으로 서술된다. 그러나, 본 발명이 불소(fluorine) 및 불소 함유 유체들과의 반응으로부터 기판지지부들의 알루미늄 표면들을 보호하는 것에 대해 특별한 유용성을 갖지만, 본 발명은 다른 증착 시스템들에 사용되는 기판지지부들, 반도체 공정 챔버들 내의 각 시스템들 및 다른 표면들 상에 사용할 수 있다는 것이 이해되어야 한다. 여기서 사용되는 용어인 알루미늄은 알루미늄 및 그것의 합금들(alloys)을 포함한다.
도 1은 화학기상증착 시스템(100)의 일 실시예의 단면도이다. 상기 시스템(100)은 일반적으로 가스 소오스(104)에 결합된 챔버(102)를 포함한다. 상기 챔버(102)는 공정영역(process volume; 112)을 한정하는 벽들(106), 바닥(108) 및 덮개(110)를 갖는다. 상기 벽들(106) 및 바닥(108)은 전형적으로 하나의 알루미늄 판(block)으로 제조된다. 상기 챔버(102)는 상기 공정영역(112)을 배출구(116)에 연결하는 펌핑링(114)을 포함한다. 상기 배출구(116)는 가스를 배출하여 상기 공정영역(112) 내의 압력을 제어하는 다양한 펌핑 구성 요소들(도시하지 않음)에 연결된다.
상기 덮개(110)는 상기 벽들(106)에 의해 지지되고 상기 챔버(102)를 수리하기 위해 제거될 수 있다. 상기 덮개(110)는 일반적으로 알루미늄으로 구성되며, 추가적으로 열전달 유체 도관들을 포함하여 그것을 통해 열전달 유체가 흐르게 하여 상기 덮개(110)의 온도를 조정할 수 있다.
샤워헤드(showerhead; 118)가 상기 덮개(110)의 내측(120)에 결합된다. 상기 샤워헤드(118)는 전형적으로 알루미늄으로 제조된다. 상기 샤워헤드(118)는 일반적으로 "접시모양(dish-shaped)"의 중앙부분(124)을 둘러싸는 주변 장착링(perimeter mounting ring; 122)를 포함한다. 상기 장착링(122)은 그것을 관통하는 복수개의 장착홀들(126)을 포함하는 데, 그것들 각각은 상기 덮개(110) 내의 대응홀(mating hole; 130)에 조여지는 구멍 장착 나사(vented mounting screw; 128)를 수용한다. 상기 중앙부분(124)은 그것을 통해 가스들을 쉽게 통과시키는 관통된 영역(132)을 포함한다.
혼합블럭(mixing block, 134)이 상기 덮개(110) 내에 배치된다. 상기 혼합 블럭(134)은 공정 및 다른 가스들이 상기 혼합블럭(134) 및 샤워헤드(118)를 통과하여 상기 공정영역(112)에 공급될 수 있도록 상기 가스 소오스(104)에 연결된다. 전형적으로, 세정 소오스(도시하지 않음)로부터 세정 가스들이 또한 상기 혼합블럭(134)을 통해 상기 공정 영역(112)으로 공급된다. 관통된 차단 플레이트(136)가 상기 샤워헤드(118)와 혼합블럭(134) 사이에 배치되어 상기 샤워헤드(118)를 통해 상기 챔버(102) 내로 통과하는 가스들의 균일한 분포를 강화한다. 상기 차단 플레이트(136)은 전형적으로 알루미늄으로 제조된다.
적어도 부분적으로 코팅된 지지부 어셈블리(138)가 상기 샤워헤드(118) 아래에 배치된다. 상기 지지부 어셈블리(138)는 그것에 연결된 축(shaft, 142)을 포함하고 공정이 진행되는 동안 기판(140)을 지지한다. 상기 지지부 어셈블리는 전형적으로 상기 벽들(106) 내의 입구(도시하지 않음)를 통해 상기 기판(140)에 의해 접근된다. 축(142)은 상기 지지부 어셈블리(138)와 상승기구(lift mechanism, 144) 사이에 결합된다. 상기 상승기구(144)는 도시된 바와 같은 상승된 위치와 하강된 위치 사이에서 상기 지지부 어셈블리(138)를 이동시킨다. 상기 지지부 어셈블리(138) 또는 축(142)과 상기 챔버 바닥(108) 사이에 배치된 벨로우즈(bellows, 146)는 지지부 어셈블리(138)의 이동을 가능하게 하면서 상기 공정영역(112)과 상기 챔버(102) 바깥의 분위기 사이에 진공 밀봉을 제공한다. 상승 핀들 및 관련 기구들은 명확성을 위해 생략되었다.
동작 시, 상기 반도체 기판(140)은 그들 사이에 진공을 제공하므로써 상기 지지부 어셈블리(138)에 고정된다. 상기 기판의 온도는 아래에서 설명되는 가열요소에 의해 상기 지지부 어셈블리에 전달되는 열을 조절하여 미리 정해진 공정 온도로 상승된다. 상기 증착 공정 동안, 상기 기판은 전형적으로 300℃와 550℃ 사이의 정상온도로 가열된다.
일 실시예에서 실란(silane)과 텅스텐 헥사플루오라이드(tungsten hexafluoride)를 포함할 수 있는 가스 성분들은 가스 패널로부터 상기 혼합블럭(134)과 샤워헤드(118)을 통해 상기 공정 챔버로 공급되어 혼합가스를 형성한다.상기 혼합가스는 반응하여 상기 기판(140) 상에 텅스텐층을 형성한다. 상기 기판의 테두리에 증착되어 상기 기판(140)이 상기 지지부 어셈블리(138)에 부착되는 것을 방지하기 위해, 퍼지 가스들이 상기 지지부 어셈블리(138)로부터 상기 기판(140)의 주변부로 유출된다.
도 2는 상기 지지부 어셈블리(138)의 단면을 나타낸다. 상기 지지부 어셈블리(138)는 일반적으로 알루미늄으로 구성된다. 가열 요소(234)가 전형적으로 상기 지지부 어셈블리(138)에 내장 또는 결합된다. 상기 가열 요소(234)는 상기 지지부 어셈블리(138)와 함께 열전달을 가능하게 하는 소자들 또는 구성요소, 예컨대 다른 것들 중에서 열전장치(thermoelectric devices), 저항 히터들 및 열전달 유체가 흐르기 위한 도관들일 수 있다.
도 2에 나타낸 일 실시예에서, 상기 지지부 어셈블리(138)는, 그들 사이에 배치된 저항 요소(210) 형태의 가열요소(234)를 갖는, 상부(upper portion, 212)와 하부(lower portion, 214)를 포함한다. 상기 상 하부들(212, 214)은 다른 방법들 중 클램핑(clamping), 패스닝(fastening), 용접(welding), 납땜(brazing) 및 접착에 의해 결합된다. 상기 저항 요소(210)는 전형적으로 도전물질로 제조된다. 파워 소오스(232)가 상기 저항 요소(210)에 결합된다. 서모커플(thermocouple, 250)이 상기 지지부 어셈블리(138) 내에 배치되고 제어기(252)에 연결된다. 상기 제어기(252)는, 상기 서모커플(250)에 의해 제공된 정보에 응답하여, 상기 파워 소오스(232)로부터 상기 저항 요소(210)에 인가되는 파워를 제어하여 상기 지지부 어셈블리(138) 및 그 위에 안착된 기판을 미리 정해진 온도로 조절 가능하게 가열한다.
도 2 및 도 3에 나타낸 바와 같이, 진공 통로(222)가 상기 지지부 어셈블리(138)를 관통하여 배치되어, 상기 기판(140)을 지지하는 지지부 표면(216)을 진공 소오스(230)에 연결한다. 상기 지지부 표면(216)은 그 안에 배치되고 상기 진공 통로(222)에 연결된 하나 또는 그 이상의 도관들(218)을 포함하여 상기 지지부 어셈블리(138)와 상기 기판(140) 사이에 인가되는 진공을 균일하게 분포시킬 수 있다.
다시 도 2로 돌아가서, 퍼지 통로(224)가 상기 지지부 어셈블리(138)를 관통하여 배치된다. 상기 퍼지 통로(224)는 일반적으로 상기 하부(214)의 하부면(226)과 상기 지지부 어셈블리(138)의 측부(236) 사이에 배치된다. 퍼지링(204)이 상기 지지부 어셈블리(138)에 결합되고 그들 사이에 환상의 공간(annular plenum, 238)을 한정한다. 상기 퍼지링(204)은 전형적으로 상기 지지부 어셈블리(138)와 유사 또는 동일한 물질로 제조되나, 택일적으로 다른 물질들로 제조될 수 있다. 상기 퍼지 통로(224)로부터 흘러나온 퍼지가스는 상기 지지부 어셈블리(138)의 측부(236) 둘레에 있는 상기 공간(238) 내에 균일하게 분포된다. 하나 또는 그 이상의 퍼지 도관들(purge channels)이 상기 공간(238)을 상기 기판(140) 주위에 있는 상기 지지부 표면(216)에 유려하게 연결한다. 도 2에 나타낸 상기 실시예에서, 환상의 퍼지 도관(208)이 상기 퍼지링(204)와 상기 지지부 어셈블리(138) 사이에 형성된다. 전형적으로, 리스트릭터(restrictor, 240)가 상기 공간(238)과 퍼지 도관(208) 사이의 통로에 배치되어 상기 공간(238) 내의 가스의 균일한 분포를 강화한다. 상기 퍼지 도관(208)은 퍼지 가스를 상기 기판(140) 주변에 균일하게 제공하고, 상기 기판을 상기 지지부 표면(216)에 부착시킬 수 있는 테두리 증착을 방지한다.
복수개의 정렬핀들(206)이 전형적으로 상기 지지부 표면(216) 및/또는 퍼지링(204)에 결합된다. 상기 정렬핀들(206)은 택일적으로 상기 퍼지링(204)의 통합된 부분일 수 있다. 상기 정렬핀들(206)은 일반적으로 상기 기판(140)을 상기 지지부 어셈블리(138) 상의 중심에 놓는 경사진 면(242)을 갖는다.
보호코팅(220)이 전형적으로 상기 지지부 어셈블리(138)의 적어도 상부면(216) 상에 배치된다. 상기 코팅(220)은 전형적으로 상기 퍼지링(204)이 부착되기 전에 상기 상부면(216)에 적용되고 추가적으로 상기 측부(336), 하부면(226) 및 최종 어셈블리 전에 노출되는 상기 지지부 어셈블리(138)의 다른 부분들(즉, 상기 퍼지 도관(208), 리스트릭터(240) 및 공간(238))을 덮을 수 있다. 상기 코팅(220)은 추가적으로 상기 퍼지링(204) 및/또는 정렬핀들(206)에, 개별적으로든지, 어셈블리로서 적용될 수 있다. 선택사양으로, 상기 코팅(220)은 상기 챔버(102) 내의 다른 알루미늄 표면들에 적용될 수 있다. 예를 들면, 다른 것들 중 챔버 자체, 상기 샤워헤드들(가스 분산 플레이트들 및 면 플레이트들(faceplates)을 포함하여), 차단 플레이트들이다.
일반적으로, 상기 코팅(220)은 전형적으로 알루미늄 불화(AlF3)층, 마그네슘 불화(MgF2)층 또는 불소(fluoride) 및/또는 불소 함유 화합물들(fluoride containing compounds)이 그것을 통과하여 침투하는 것을 방지하는 다른 물질층을 포함한다. 상기 코팅 두께는 그러한 침투를 방지하기에 충분하고 AlF3 및 MgF2 코팅들에 대해 전형적으로 약 12 내지 25 ㎛ 사이이다. AlF3로 이루어진 상기 코팅(220)은 일반적으로 약 6 퍼센트 미만의 베타 상(beta phase)을 포함하는 그레인구조를 갖는다. 일 실시예에서, 상기 코팅은 약 10 퍼센트 미만의 베타 상을 포함하는 그레인 구조를 갖는다. 상기 코팅은 전형적으로 약 90 퍼센트 이상의 알파 상 그레인 구조를 갖는다. 베타 상 그레인 구조의 낮은 퍼센티지는 상기 지지부 어셈블리(138)에 대한 상기 코팅의 접착력을 향상시키며, 그것에 의해 베타 상 그레인 방위의 더 높은 퍼센티지를 갖는 종래의 코팅들과 관련하여 조각남(flaking), 벗겨짐(peeling) 및 파티클의 생성을 충분히 감소시킨다.
상기 코팅(220)은 AlF3 또는 다른 코팅 물질이 대략 반액체(semi-liquid), 반고체(semi-solid) 상태로 가열되는 다수의 열 방법들을 통해 적용될 수 있다. 예를 들어, 도 4는 알루미늄 표면을 갖는 기판지지부에 AlF3를 적용하기 위한 방법(400)의 일 실시예를 나타낸다. 상기 방법(400)은 단계(402)에서 알루미늄 불화물을 대략 반액체, 반고체 상태로 가열하는 것에 의해 시작한다. 그후, 단계(404)에서, 상기 가열된 알루미늄 불화물은 상기 지지부 어셈블리(138)의 알루미늄 표면에 적용된다. 상기 적용된 알루미늄 불화물은 일반적으로 약 6 퍼센트 미만의 베타 상 그레인 구조를 갖는 물질층을 형성한다. 또 다른 실시예에서, 상기 적용된 알루미늄 불화물의 상기 그레인 구조는 약 10 퍼센트 미만의 베타 상이다. 특히 약 425 내지 약 480 ℃ 사이의 온도 범위에서 작동되는 공정 장비에 사용될 때, 약 10 퍼센트 미만의 베타 상 그레인 구조를 갖는 상기 적용된 알루미늄 불화물은 알루미늄에 양호한 접착력을 나타낸 것에 반해, 약 8 퍼센트 미만의 베타 상 그레인 구조를 갖는 알루미늄 불화물은 알루미늄에 극히 우수한 접착력과 균열 및 벗겨짐에 대한 내성을 나타내었다. 더욱이, 이 공정에 의해 적용된 상기 코팅의 최종적인 표면 마감은 일반적으로 약 24 RA 미만 또는 그것과 동일하며, 공정이 진행되는 동안 상기 기판이 그 위에 안착될 때 상기 기판의 스크래칭(scratching) 또는 파티클의 생성을 최소화한다. 선택사양으로, 연마(grinding or polishing) 단계가 상기 코팅(220)의 표면 마감을 개선하기 위해 채택될 수 있다. 일반적으로, 연마 단계가 채택된다면, 상기 코팅(220)의 두께는 약 12 ㎛ 미만으로 감소되지 않아야 한다.
상기 가열 단계(402)는 비활성 가스로 형성된 플라즈마에 분말형태의 AlF3를 노출시키어 수행될 수 있다. 상기 플라즈마는 상기 적용 단계(404)가 수행되는 챔버 내에서 형성되거나 원거리에서 생성될 수 있다. 전형적으로, 아르곤, 네온 및/또는 헬륨이 상기 플라즈마를 형성하기 위해 사용될 수 있다. 일 실시예에서, 상기 플라즈마 온도는 약 1450 내지 약 1600 ℃ 이다.
상기 플라즈마 가열 증착 공정에 사용되는 상기 AlF3 분말의 그레인 크기는 전형적으로 약 + 100 내지 - 325 메시(mesh)의 범위 내에 있다. 일 실시예에서, 상기 AlF3 분말은 일반적으로 적어도 약 90 퍼센트의 알파 상 그레인 방위를 갖는다. 상기 지지부 어셈블리(138)에 상기 가열된 AlF3를 적용하는 단계(404)는 일반적으로 상기 가열된 AlF3를 전형적으로 상온인 상기 지지부 표면(216) 위로 분무함으로써 수행된다. 상기 분무된 AlF3는 반고체, 반액체 상태로 상기 지지부 표면(216)에 도달한다. 그 위에 배치된 상기 코팅(220)을 갖는 지지부 어셈블리(138)는 그 후 상온으로 냉각된다.
도 5는 기판지지부를 제조하기 위한 방법의 또 다른 실시예를 나타낸다. 상기 방법(500)은 일반적으로 단계(502)에서 비활성 가스로 플라즈마를 형성하므로써시작한다. 단계(504)에서, AlF3는 상기 플라즈마로 가열된다. 단계(506)에서, 상기 가열된 AlF3가 상기 지지부 어셈블리(138)의 지지부 표면(216) 상에 분무된다. 일 실시예에서, AlF3는 상기 플라즈마를 통해 상기 지지부 표면(216) 상으로 분무된다.
비록 두개의 예들이 AlF3를 상기 지지부 어셈블리(138)에 적용하기 전 상기 AlF3를 반액체, 반고체 상태로 가열하는 것에 대해 설명했지만, 상기 기판지지부(138)에 적용하기 전 또는 후에 AlF3를 반액체, 반고체 상태로 가열하는 다른 방법들이 약 10 퍼센트 미만의 베타 상 그레인 방위를 갖는 상기 코팅(220)을 생성하고 따라서 본 발명의 범위 내로 생각되도록 존재하거나 개발될 수 있다. 더욱이, 상기 방법들(400, 500)은 불소 및/또는 불소 함유 화합물들이 그것을 관통하여 침투하는 것을 방지하는 다른 물질 또는 MgF2를 적용하기 위해 변형될 수 있다.
도 6은 지지부 어셈블리(600)의 적어도 지지부 표면(604) 상에 배치된 보호 코팅(602)을 갖는 또 다른 지지부 어셈블리(600)를 나타낸다. 상기 지지부 어셈블리(600)는 일반적으로 알루미늄으로 제조되고 그 안에 내장된 가열 요소(606)를 갖는다. 도 6에 도시된 상기 실시예에서, 상기 가열 요소(606)는 상기 지지부 어셈블리(600)의 하부면(612)에 형성된 그루브(groove, 610) 내에 배치된 도관(conduit, 608)이다. 상기 그루브(610)는 상기 그루브 내의 상기 도관(608)을 막도록 그것에 결합된 마개(plug, 614)를 갖는다.
상기 도관(608)은 열전달 유체소오스(616)에 연결된다. 상기 열전달 유체소오스(616)는 상기 도관(608)을 통해 열전달 유체를 흘려서 상기 지지부 표면(604)상에 안착된 상기 기판(140)을 열적으로 조정한다.
진공 통로(618)는, 도 2를 참조하여 상술된 상기 통로(222)와 유사하게 상기 지지부 어셈블리(600)를 관통하여 배치된다. 진공소오스(634)는 상기 진공 통로(618)에 연결되고 상기 기판과 상기 지지부 표면(604) 사이에 진공이 형성되도록 하여 상기 기판을 유지한다. 상기 지지부 표면(614)은 추가적으로 도관들(632)을 포함하여 상기 기판 아래에 상기 진공을 균일하게 분포시킬 수 있다.
퍼지링(620)이 상기 지지부 표면(604)를 둘러싸고 상기 지지부 어셈블리(600)와 함께 퍼지 가스 도관(622)를 형성한다. 상기 퍼지 가스 도관(622)는 상기 지지부 어셈블리(600)를 관통하여 형성된 퍼지 가스 통로(626)에 의해 퍼지 가스 소오스(624)에 연결된다. 상기 퍼지링(620)은 클램프(clamp, 628) 및 핀(630)에 의해 상기 지지부 어셈블리(600)에 결합된다. 퍼지링을 지지부 어셈를리에 고정하기 위해 클램프 및 핀을 사용하는 것은 2001년 5월 1일에 등록된 미국특허 제 6,223,447호에 매우 상세하게 개시되며, 그것의 전부가 여기서 참고문헌으로 포함된다.
상기 코팅(602)은 일반적으로 적어도 상기 지지부 표면(604) 상에 배치되나, 추가적으로 상기 지지부 어셈블리(600)의 다른 부분들, 퍼지링(620) 및/또는 클램프(628) 상에 배치될 수 있다. 상기 코팅(602)는 일반적으로 도 2, 4 및 5를 참조하여 상술된 상기 코팅(220)과 동일하다. 상기 코팅은, 불소와 같은 활동적인 물질들에 노출될 때 균열(cracking), 조각남(flaking) 등에 내성이 더 강하면서, 동시에 그것이 배치되는 하지 물질(underlying material)을 상기 활동적인 환경의 공격으로부터 보호한다. 따라서, 상기 코팅은 공정 진행 동안 기판들의 오염을 방지하면서 코팅된 표면들의 보수 기간을 강화한다.
상술된 방법에 의해 적용된 상기 코팅(602)은 가혹한 환경들, 예컨대 NF3를 포함하는 환경들에 노출되었을 때 퇴화에 대한 내성을 증명했다. 예를 들어, W×ZTM 금속 화학기상증착(MCVD) 시스템에서 세정 사이클은 일반적으로 사이클 당 대략 40초 동안 상기 코팅(602)을 NF3에 노출시킨다. 상기 코팅(602)을 360 세정 사이클들에 노출시킨 후, 상기 코팅(602)은 퇴화(deterioration), 균열(cracking), 조각남(flaking) 또는 다른 해로운 조건의 어떠한 신호도 보이지 않았다.
상기 코팅(602)은 추가적으로 열 쇼크(shock) 조건들 하에서 안정성을 증명했다. 예를 들어, 상기 코팅(602)은 약 475 ℃로 빠르게 가열될 수 있다. 이 온도에서 약 1.5 시간 동안 유지하고 상온으로 냉각된 후, 어떠한 벗겨짐(peeling), 갈라짐(delamination), 균열들(cracks) 또는 다른 해로운 조건도 검사 상 분명하지 않았다.
상술한 바와 같이 코팅된 히터 또는 다른 챔버 구성요소는 종래기술로 적용된 AlF3 보호층을 갖는 구성요소에 비해 이점이 있다. 예를 들어, 알루미늄 히터(기판지지부들)의 열적용(예컨대, 시즈닝(seasoning))은 상술한 바와 같이 AlF3를 적용하는 것 보다 대략 10 배 많은 비용이 든다. 더욱이, 상술한 바와 같이 코팅된 히터는, 10,000 이상의 증착 사이클들을 지속하여, 시즈닝된(seasoned) 히터들과 비교하여 적어도 상당한 수명을 가지며, 따라서 기판 처리량(throughput)에 거의 손상을 주지 않으면서 현존하는 히터들을 즉시 교체할 수 있다는 것이 시험으로 증명되었다.
비록 본 발명의 내용들이 여기서 도시되고 상세히 설명되었지만, 당업자는 상기 내용들을 여전히 포함하고 본 발명의 범위 및 사상을 벗어나지 않는 다른 다양한 실시예들을 즉시 고안할 수 있다.

Claims (37)

  1. 반도체 공정 챔버 내에 사용되는 부품의 알루미늄 표면을 코팅하는 방법에 있어서,
    불소 및/또는 불소 함유 화합물들의 침투를 방지하는 코팅 물질을 반액체, 반고체 상태로 가열하고,
    상기 가열된 코팅 물질을 상기 알루미늄 표면에 증착하는 것을 포함하는 알루미늄 표면 코팅 방법.
  2. 제 1 항에 있어서,
    상기 가열 단계는 비활성 가스로 형성된 플라즈마를 통하여 상기 코팅 물질을 분무하는 것을 더 포함하는 알루미늄 표면 코팅 방법.
  3. 제 1 항에 있어서,
    상기 코팅 물질은 알루미늄 불화물, 마그네슘 불화물 또는 둘 모두를 포함하는 알루미늄 표면 코팅 방법.
  4. 반도체 공정 챔버 내에 사용되는 부품의 알루미늄 표면을 코팅하는 방법에 있어서,
    알루미늄 불화물, 마그네슘 불화물 또는 둘 모두를 포함하는 물질을 반액체,반고체 상태로 가열하고,
    상기 가열된 물질을 인가하여 상기 알루미늄 표면 상에 층을 형성하는 알루미늄 표면 코팅 방법.
  5. 제 4 항에 있어서,
    상기 가열 단계는
    비활성 가스로 플라즈마를 형성하고,
    상기 플라즈마를 통하여 분말 코팅물질을 분무하는 것을 더 포함하는 알루미늄 표면 코팅 방법.
  6. 제 4 항에 있어서,
    상기 가열된 물질을 인가하여 층을 형성하는 단계는
    약 10 퍼센트 미만의 베타 상 그레인 구조를 갖는 알루미늄 불화층을 형성하는 것을 더 포함하는 알루미늄 표면 코팅 방법.
  7. 제 4 항에 있어서,
    상기 알루미늄 표면을 갖는 부품은, 반도체 공정에서 사용되는 것으로서, 샤워헤드, 차단 플레이트, 지지부 어셈블리 및 챔버 본체로 이루어진 군으로부터 적어도 하나의 부품인 알루미늄 표면 코팅 방법.
  8. 기판 지지부 상의 알루미늄 표면을 코팅하는 방법에 있어서,
    알루미늄 불화물을 반액체, 반고체 상태로 가열하고,
    상기 가열된 알루미늄 불화물의 적어도 일부를 상기 알루미늄 표면에 접촉시키는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  9. 제 8 항에 있어서,
    상기 접촉 단계는 분무하는 것을 더 포함하는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  10. 제 8 항에 있어서,
    상기 가열 단계는 상기 알루미늄 불화물을 플라즈마에 노출시키는 것을 더 포함하는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  11. 제 10 항에 있어서,
    상기 가열 단계는 알루미늄 불화물을 비활성 가스로 형성된 플라즈마에 노출시키는 것을 더 포함하는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  12. 제 8 항에 있어서,
    상기 가열 단계는 상기 알루미늄 불화물을 아르곤, 네온 및 헬륨의 군으로부터 선택된 가스로 형성된 플라즈마에 노출시키는 것을 더 포함하는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  13. 제 10 항에 있어서,
    상기 알루미늄 불화물은 분말 형태인 기판 지지부 상의 알루미늄 표면 코팅 방법.
  14. 제 13 항에 있어서,
    상기 알루미늄 불화물 분말은 적어도 약 90 퍼센트의 알파 상 그레인 구조를 갖는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  15. 제 8 항에 있어서,
    상기 기판 표면 상에 약 12 내지 28 ㎛ 사이의 알루미늄 불화물을 증착하는 단계를 더 포함하는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  16. 제 8 항에 있어서,
    상기 가열 단계는 상기 플라즈마를 약 1450과 1600 ℃ 사이로 가열하는 것을 더 포함하는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  17. 제 8 항에 있어서,
    상기 증착된 알루미늄 불화물은 약 10 퍼센트 미만의 베타 상 그레인 구조를갖는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  18. 제 8 항에 있어서,
    상기 증착된 알루미늄 불화물은 약 6 퍼센트 미만의 베타 상 그레인 구조를 갖는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  19. 기판 지지부 상의 알루미늄 표면을 코팅하는 방법에 있어서,
    비활성 가스로 플라즈마를 형성하고,
    상기 플라즈마로 알루미늄 불화물을 가열하고,
    상기 가열된 알루미늄 불화물을 상기 알루미늄 표면 상에 분무하는 것을 포함하는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  20. 제 19 항에 있어서,
    상기 가열 단계는 상기 플라즈마를 약 1450과 약 1600 ℃ 사이로 가열하는 것을 더 포함하는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  21. 제 19 항에 있어서,
    상기 증착된 알루미늄 불화물은 약 10 퍼센트 미만의 베타 상 그레인 구조를 갖는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  22. 제 19 항에 있어서,
    상기 분무된 알루미늄 불화물을 적어도 약 12 ㎛의 두께로 연마하는 것을 더 포함하는 기판 지지부 상의 알루미늄 표면 코팅 방법.
  23. 알루미늄 표면을 갖는 샤워헤드, 가스 분산 플레이트, 면 플레이트, 차단 플레이트, 지지부 어셈블리 또는 진공 챔버 본체; 및
    반액체, 반고체 상태로 상기 알루미늄 표면에 적용된 알루미늄 불화물, 마그네슘 불화물 또는 둘 모두를 포함하는 코팅을 포함하는 반도체 공정 챔버 내에서 사용하기 위한 부품.
  24. 제 23 항에 있어서,
    상기 코팅은 10 퍼센트 미만의 베타 상 그레인 구조를 갖는 기판 지지부.
  25. 제 23 항에 있어서,
    상기 코팅은 6 퍼센트 미만의 베타 상 그레인 구조를 갖는 기판 지지부.
  26. 알루미늄 불화물로 코팅된 알루미늄 표면을 갖되, 상기 알루미늄 불화물 코팅은 알루미늄 불화물을 반 액체 상태로 가열하고 상기 가열된 알루미늄 불화물을 상기 알루미늄 표면에 적용하는 방법에 의해 적용된 기판 지지부.
  27. 제 26 항에 있어서,
    상기 알루미늄 불화물 코팅은 약 12 내지 25 ㎛의 두께를 갖는 기판 지지부.
  28. 제 26 항에 있어서,
    상기 알루미늄 불화물 코팅은 6 퍼센트 미만의 베타 상 그레인 구조를 갖는 기판 지지부.
  29. 제 26 항에 있어서,
    상기 알루미늄 불화물 코팅은 10 퍼센트 미만의 베타 상 그레인 구조를 갖는 기판 지지부.
  30. 알루미늄 불화물로 코팅된 알루미늄 표면을 갖는 기판 지지부에 있어서,
    상기 알루미늄 불화물 코팅은
    적어도 90 퍼센트의 알파 상 그레인 방위를 갖는 알루미늄 불화물 분말을 비활성 가스로 형성된 플라즈마를 통하여 분무하고,
    상기 분무된 알루미늄 불화물을 상기 알루미늄 표면에 증착하는 것을 포함하는 방법에 의해 적용되되, 상기 증착된 알루미늄 불화물은 10 퍼센트 미만의 베타 상 그레인 구조를 갖는 기판 지지부.
  31. 제 30 항에 있어서,
    플라즈마는 1450과 1600 ℃ 사이의 온도인 기판 지지부.
  32. 제 30 항에 있어서,
    상기 증착된 알루미늄 불화물 코팅은 약 12 내지 25 ㎛의 두께를 갖는 기판 지지부.
  33. 제 30 항에 있어서,
    상기 알루미늄 불화물 코팅은 6 퍼센트 미만의 베타 상 그레인 구조를 갖는 기판 지지부.
  34. 알루미늄 표면을 갖는 샤워헤드, 가스 분산 플레이트, 면 플레이트, 차단 플레이트, 지지부 어셈블리 또는 진공 챔버 본체; 및
    반액체, 반고체 상태로 상기 알루미늄 표면에 적용된 알루미늄 불화층을 포함하고 10 퍼센트 미만의 베타 상 그레인 구조를 갖는 코팅을 포함하는 반도체 공정 챔버 내에서 사용하기 위한 부품.
  35. 알루미늄 표면을 갖는 지지부 본체;
    상기 지지부 본체 내에 배치된 가열 요소; 및
    반액체, 반고체 상태로 상기 알루미늄 표면에 적용된 알루미늄 불화층 또는 마그네슘 불화층을 포함하는 코팅을 포함하는 기판 지지부.
  36. 알루미늄의 제1 표면 및 대향하는 제2 표면을 갖는 지지부 본체;
    상기 지지부 본체 내에 배치된 가열 요소;
    상기 제1 표면 및 상기 제2 표면 사이의 상기 지지부 본체를 통하여 형성되고, 상기 지지부 본체의 상기 제1 표면과 그 위에 위치하는 기판 사이의 일 영역을 진공 상태로 만들기 위해 변형된 통로; 및
    반액체, 반고체 상태로 상기 알루미늄 표면에 적용되고, 10 퍼센트 미만의 베타 상 그레인 구조를 갖는 알루미늄 불화층을 포함하는 코팅을 포함하는 기판 지지부.
  37. 제 36 항에 있어서,
    상기 제2 표면과 상기 지지부 본체의 측부 사이의 상기 지지부 본체를 통하여 형성된 적어도 하나의 퍼지 가스 도관을 더 포함하는 기판 지지부.
KR1020047011605A 2002-02-07 2003-01-29 반도체 공정 챔버 내에서 사용하기 위한 부품 및 그것을제조하는 방법 KR101012812B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/072,792 2002-02-07
US10/072,792 US6632325B2 (en) 2002-02-07 2002-02-07 Article for use in a semiconductor processing chamber and method of fabricating same
PCT/US2003/002616 WO2003067634A1 (en) 2002-02-07 2003-01-29 Article for use in a semiconductor processing chamber and method of fabricating the same

Publications (2)

Publication Number Publication Date
KR20040081150A true KR20040081150A (ko) 2004-09-20
KR101012812B1 KR101012812B1 (ko) 2011-02-08

Family

ID=27659562

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047011605A KR101012812B1 (ko) 2002-02-07 2003-01-29 반도체 공정 챔버 내에서 사용하기 위한 부품 및 그것을제조하는 방법

Country Status (6)

Country Link
US (1) US6632325B2 (ko)
JP (1) JP2005533368A (ko)
KR (1) KR101012812B1 (ko)
CN (1) CN1653587B (ko)
TW (1) TW200303042A (ko)
WO (1) WO2003067634A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100761757B1 (ko) * 2006-08-17 2007-09-28 삼성전자주식회사 막 형성 방법
KR20220033742A (ko) 2020-09-10 2022-03-17 주식회사 테스 식각 물질로부터 장치를 보호하는 방법 및 산화막 형성 방법

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6716289B1 (en) * 2000-08-09 2004-04-06 Itt Manufacturing Enterprises, Inc. Rigid gas collector for providing an even flow of gasses
DE10235482B3 (de) * 2002-08-02 2004-01-22 Süss Microtec Lithography Gmbh Vorrichtung zum Fixieren dünner und flexibler Substrate
US6908045B2 (en) * 2003-01-28 2005-06-21 Casio Computer Co., Ltd. Solution spray apparatus and solution spray method
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
JP4312063B2 (ja) * 2004-01-21 2009-08-12 日本エー・エス・エム株式会社 薄膜製造装置及びその方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7857947B2 (en) * 2005-07-27 2010-12-28 Applied Materials, Inc. Unique passivation technique for a CVD blocker plate to prevent particle formation
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
WO2008052047A2 (en) * 2006-10-24 2008-05-02 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US8628621B2 (en) * 2007-12-31 2014-01-14 Jusung Engineering Co., Ltd. Gas injector and film deposition apparatus having the same
US20110005922A1 (en) * 2009-07-08 2011-01-13 Mks Instruments, Inc. Methods and Apparatus for Protecting Plasma Chamber Surfaces
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8888982B2 (en) 2010-06-04 2014-11-18 Mks Instruments Inc. Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP2012238629A (ja) * 2011-05-10 2012-12-06 Hitachi High-Technologies Corp 熱処理装置
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9633889B2 (en) * 2013-03-06 2017-04-25 Applied Materials, Inc. Substrate support with integrated vacuum and edge purge conduits
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN103343330B (zh) * 2013-06-24 2015-06-03 上海华力微电子有限公司 改善硅片背面金属污染的方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
CN103839841A (zh) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 嵌套工具和反应腔室
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
TWI815813B (zh) * 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR102329167B1 (ko) * 2017-11-20 2021-11-22 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
CN109817505B (zh) * 2017-11-20 2021-09-24 长鑫存储技术有限公司 等离子体供应装置及晶圆刻蚀装置
KR102336497B1 (ko) * 2017-12-08 2021-12-08 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JPWO2021065327A1 (ko) * 2019-10-04 2021-04-08
CN112992637A (zh) * 2019-12-02 2021-06-18 Asm Ip私人控股有限公司 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
KR20220119112A (ko) * 2019-12-20 2022-08-26 램 리써치 코포레이션 에지/중심 불균일성 완화를 위한 웨이퍼의 외측 주변부 근방 리세스된 영역들을 특징으로 하는 반도체 프로세싱 척들
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JPWO2021182107A1 (ko) 2020-03-11 2021-09-16
WO2022038886A1 (ja) * 2020-08-20 2022-02-24 昭和電工株式会社 耐食性部材
US11515195B2 (en) * 2020-10-26 2022-11-29 Applied Materials, Inc. Semiconductor chamber components with high-performance coating
US20240247376A1 (en) * 2023-01-20 2024-07-25 Applied Materials, Inc. Fluorinated Aluminum Coated Component for a Substrate Processing Apparatus and Method of Producing

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4514250A (en) 1982-10-18 1985-04-30 At&T Bell Laboratories Method of substrate heating for deposition processes
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
GB2204596B (en) * 1986-06-18 1991-04-03 Ricoh Kk Thin film forming apparatus
US4882203A (en) 1988-11-04 1989-11-21 Cvd Systems & Services Heating element
EP0460700B1 (en) 1990-06-07 1997-04-16 Applied Materials, Inc. Corrosion-resistant protective coating on aluminum substrate or surface and method of forming same
KR920003424A (ko) 1990-07-13 1992-02-29 미다 가쓰시게 표면처리 장치, 표면처리방법 및 반도체장치의 제조방법
US5192849A (en) 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JPH07273053A (ja) * 1994-03-31 1995-10-20 Tokyo Electron Ltd 処理装置及びアルミ系部材のコーティング方法
US5756222A (en) 1994-08-15 1998-05-26 Applied Materials, Inc. Corrosion-resistant aluminum article for semiconductor processing equipment
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
IT1282106B1 (it) * 1996-01-31 1998-03-12 Sola Optical Italia S P A Substrato trasparente fotocromatico comprendente un rivestimento superficiale antiriflesso
JP3537269B2 (ja) 1996-05-21 2004-06-14 アネルバ株式会社 マルチチャンバースパッタリング装置
US5981813A (en) * 1996-05-22 1999-11-09 Ausimont S.P.A. Fluorination process of halogenated organic compounds
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US6083309A (en) * 1996-10-09 2000-07-04 Natural Coating Systems, Llc Group IV-A protective films for solid surfaces
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP4054148B2 (ja) 1999-02-01 2008-02-27 日本碍子株式会社 耐食性部材の製造方法及び耐食性部材
US6172810B1 (en) * 1999-02-26 2001-01-09 3M Innovative Properties Company Retroreflective articles having polymer multilayer reflective coatings
US6332926B1 (en) * 1999-08-11 2001-12-25 General Electric Company Apparatus and method for selectively coating internal and external surfaces of an airfoil

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100761757B1 (ko) * 2006-08-17 2007-09-28 삼성전자주식회사 막 형성 방법
KR20220033742A (ko) 2020-09-10 2022-03-17 주식회사 테스 식각 물질로부터 장치를 보호하는 방법 및 산화막 형성 방법

Also Published As

Publication number Publication date
CN1653587B (zh) 2010-05-12
JP2005533368A (ja) 2005-11-04
US6632325B2 (en) 2003-10-14
TW200303042A (en) 2003-08-16
US20030148035A1 (en) 2003-08-07
CN1653587A (zh) 2005-08-10
KR101012812B1 (ko) 2011-02-08
WO2003067634A1 (en) 2003-08-14

Similar Documents

Publication Publication Date Title
KR101012812B1 (ko) 반도체 공정 챔버 내에서 사용하기 위한 부품 및 그것을제조하는 방법
JP3624628B2 (ja) 成膜方法及び成膜装置
KR100296533B1 (ko) 실란의사용없이진공챔버내에서wf6환원에의해수행되는텅스텐cvd공정중에서의텅스텐핵형성방법
US6827815B2 (en) Showerhead assembly for a processing chamber
US5456757A (en) Susceptor for vapor deposition
US5935338A (en) Chemical vapor deposition chamber
US5383971A (en) Differential pressure CVD chuck
KR100915252B1 (ko) 샤워 헤드 구조체 및 그의 의한 성막 방법과, 가스 처리 장치
US20060137607A1 (en) Combination of showerhead and temperature control means for controlling the temperature of the showerhead, and deposition apparatus having the same
US5810936A (en) Plasma-inert cover and plasma cleaning process and apparatus employing same
KR100744860B1 (ko) 탑재대 구조체 및 이 탑재대 구조체를 갖는 열처리 장치
US6375748B1 (en) Method and apparatus for preventing edge deposition
US5837058A (en) High temperature susceptor
US5916370A (en) Semiconductor processing chamber having diamond coated components
US6223447B1 (en) Fastening device for a purge ring
JP4815724B2 (ja) シャワーヘッド構造及び成膜装置
WO1999049102A1 (en) Deposition resistant lining for cvd chamber
KR101139165B1 (ko) Ti계 막의 성막 방법 및 기억 매체
JP4222086B2 (ja) 熱処理装置
US10400323B2 (en) Ultra-low defect part process
US5431737A (en) Interchangeable CVD chuck surface
WO2021257225A1 (en) High temperature face plate for deposition application
JP2005068559A (ja) 成膜方法及び成膜装置
EP0629716B1 (en) Susceptor for vapor deposition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee