KR20040030823A - 코팅 기판의 제조 방법 - Google Patents

코팅 기판의 제조 방법 Download PDF

Info

Publication number
KR20040030823A
KR20040030823A KR10-2004-7000879A KR20047000879A KR20040030823A KR 20040030823 A KR20040030823 A KR 20040030823A KR 20047000879 A KR20047000879 A KR 20047000879A KR 20040030823 A KR20040030823 A KR 20040030823A
Authority
KR
South Korea
Prior art keywords
substrate
reactive gas
plasma
adsorbed
vacuum chamber
Prior art date
Application number
KR10-2004-7000879A
Other languages
English (en)
Other versions
KR100963229B1 (ko
Inventor
램쥐르겐
Original Assignee
어낵시스 발처스 악티엔게젤샤프트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어낵시스 발처스 악티엔게젤샤프트 filed Critical 어낵시스 발처스 악티엔게젤샤프트
Publication of KR20040030823A publication Critical patent/KR20040030823A/ko
Application granted granted Critical
Publication of KR100963229B1 publication Critical patent/KR100963229B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Surface Treatment Of Glass (AREA)
  • Insulating Bodies (AREA)
  • Silicon Compounds (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

본 발명은, a) 적어도 하나의 기판을 진공상태의 챔버에 공급하며, b) 흡착되어야 하는 기판의 표면을 이 표면에 흡착되는 반응성 가스에 노출하고, c) 반응성 가스를 통한 표면의 노출 과정을 종료하며, d) 상기 표면에서 흡착된 반응성 가스가 반응하는 재료처리기판의 제조방법에 있어서, d1) 상기 기판 표면에서의 이온 에너지(EIO)가 다음과 같고, 0 〈 EIO≤ 20eV, 전자 에너지(Eeo)가 다음과 같은, 0eV 〈 Eeo≤ 100eV, 저에너지 플라즈마 방전의 흡착된 반응성 가스에 상기 표면을 노출시키며, d2) 상기 흡착된 반응성 가스가 적어도 플라즈마에 의해 발생된 이온 및 전자의 영향 하에서 반응하는 것을 특징으로 하는 기판의 제조방법에 관한 것이다.

Description

코팅 기판의 제조 방법{METHOD FOR THE PRODUCTION OF COATED SUBSTRATES}
이런 방법은 미국 특허 제5 916 365호에 이미 공지되어 있다. 상기 방법에서는 기판이, 프로세스 챔버를 주위 공간에 대해 차단하는 세라믹 재질의 진공 챔버벽을 포함하는 진공상태의 챔버에 제공된다.
기판의 코팅된 표면은 이 표면에서 흡착되는 제1 반응성 가스에 노출된다. 후속 공정에서 반응성 가스를 펌핑함으로써 표면을 반응성 가스에 노출시키는 과정을 종료한다.
그 다음 제2 반응성 가스를 주입하고, 진공 챔버의 외부에 배치된 코일 장치를 통해 진공 챔버 내에서 고주파 자기장이 발생한다. 이로 인해 공급된 제2 반응성 가스의 적어도 일부가 라디칼로 활성화되며, 상기 표면에 흡착된 제1 반응성 가스가 고주파 자기장의 영향을 통해 발생한 라디칼과 만 반응한다.
본 발명은,
a) 적어도 하나의 기판을 배기된 진공 챔버에 공급하며,
b) 흡착되어야 하는 기판의 표면을 이 표면에서 흡착되는 반응성 가스에 노출하고,
c) 반응성 가스를 통한 표면의 노출 과정을 종료하며,
d) 상기 표면에 흡착된 반응성 가스가 반응하도록 하는 것으로 이루어지는 재료 처리기판의 제조 방법에 관한 것이다.
다음에서는 도면을 통해 도시한 실시예를 근거로 본 발명에 대해 상세히 설명한다.
도1은 단계(Ph1, Ph2)를 포함하는 본 발명에 따른 방법을 실시하기 위한 프로세스 모듈에 대한 제1 실시 변형을 도시하며,
도2는 도1에 따른 방법으로 도시한, 도1에 따른 프로세스 모듈의 바람직한 실시 변형을 도시하고,
도3은 도1 및 도2에 따른 방법으로 도시한, 본 발명에 따른 방법에서 세척 단계를 실시하기 위한 다른 프로세스 모듈 유형을 도시하며,
도4는 도1, 도2 및 도3에 따른 방법으로 도시한, 도3의 프로세스 모듈의 측벽을 도시하고,
도5는 도3 및 도4에 따른 프로세스 모듈로 전환 가능한 도2에 따른 프로세스 모듈의 바람직한 실시 형태를 도시하며,
도6은 도5에 따른 프로세스 모듈의 개구축(A)을 기준으로, 개구축(A)에 대해 수직인 면(E) 상에서 개구축(A)에 대해 평행하게, 제어를 통해 구역적 및 시간적으로 이동한 자기장을 도시하고,
도7은 다층 구조의 실현을 위한 본 발명에 따른 방법의 도식적 실시예를 도시하며,
도8은 다층 구조의 실현을 위한 본 발명에 따른 방법의 다른 실시 형태를 도시하고,
도9는 본 발명에 따른 방법을 실시하기 위한 환형 설비 또는 클러스터 설비에 속하는 도1 내지 도5에 따른 공정 모듈의 조합을 도식적으로 나타낸 정면도를 도시한다.
본 발명의 목적은, 코팅되어야 하는 기판 표면에 원자 단일층을 증착시키는 것과 관련이 있고 증착 가능한 단일층과 관련해 폭넓은 융통성을 갖는, 서문에 전술한 유형의 방법을 제안하는 것이다.
본 발명에서 재료 처리(material treatment)라는 용어를 사용하는 것은, 전술한 단일층이 하나의 코팅이라는 의미에서 폐쇄된 층으로서 증착되어야 하는 것이 아니라, 증착된 원자의 밀도가 폐쇄된 층을 형성하기 위해 필요한 밀도보다 현저히 낮을 수 있기 때문이다. 하지만, 필요한 경우에는, 하나의 코팅의 의미를 갖는 폐쇄된 단일층이 형성되도록 재료 처리가 이루어질 수 있다.
상기 목적은 본 발명에 따라 다음을 통해 달성된다.
d1) 기판 표면에서의 이온 에너지(EIO)가 다음과 같고
0 〈 EIO≤ 20eV
전자 에너지(Eeo)가 다음과 같은
0eV 〈 Eeo≤ 100eV
저에너지 플라즈마 방전의 흡착된 반응성 가스에 상기 표면을 노출시키며,
d2) 흡착된 반응성 가스가 적어도 플라즈마에 의해 발생된 이온 및 전자의동시 작용 하에서 반응한다.
흡착된 가스가 오로지 전기적으로 중성인 라디칼을 통해서만 반응하는, 전술한 미국 특허 제5 916 365호와는 달리, 본 발명에서는 표면에 흡착된 반응성 가스가 저에너지 플라즈마 방전으로 발생한 이온 및 전자의 작용을 통해서도 서서히 반응한다. 따라서 상기 표면에서 다른 반응성 가스 라디칼의 작용 없이, 단지 저에너지 불활성 가스 이온 및 전자를 통해 "서서히 진행하는(soft)" 상호작용 또는 다른 반응성 가스 이온의 작용을 통해서만 흡착된 가스를 안정화시킬 수 있는 가능성이 제시된다.
코로나 방전(corona discharge) 조건 하에 반응성 가스 혼합물 대기 중에서 얇은 층을 증착시키는 것이 이미 전술한 미국 특허 제5 916 365호에 공지되어 있음에도 불구하고, 만족할만한 코팅이 이루어지지 않으므로, 본 발명에서는, 흡착된 반응성 가스 또는 반응성 가스 혼합물에 미치는 이온 및 전자의 작용을 추가적으로 발생시키며, 플라즈마 방전에서 제2 반응성 가스 또는 반응성 가스 혼합물을 라디칼 및 이온으로 활성화시키고, 흡착된 반응성 가스 또는 반응성 가스 혼합물이 플라즈마 활성화를 통해 발생된 전기적으로 중성인 라디칼 및 반응성 가스 이온과 상호반응을 하도록 하기 위해, 본 발명에 따라 투입된 플라즈마 방전이 어떻게 형성되어야 하는 지를 설명한다.
이제 전기적으로 하전된 입자가 흡착된 가스의 반응에 참여하므로, 반응 및 상기 입자의 분포를 전기장 및/또는 자기장을 통해 제어할 수 있으며, 전기장 또는 자기장으로만 라디칼의 상태에 영향을 미칠 수 없다.
바람직한 실시예에서는 이온 에너지(EI)가 기판의 표면에서 다음과 같은 범위로 감소한다.
0eV 〈 EI≤ 15eV
또한 흡착된 반응성 가스가 반응성 가스 혼합물일 수도 있다. 플라즈마 방전은 바람직하게는 아르곤 가스인 불활성 가스 분위기에서 진행하거나, 또는 다른 반응성 가스 또는 반응성 가스 혼합물을 포함하는 분위기에서 플라즈마 방전이 발생한다. 또한 바람직하게는 이런 다른 반응성 가스 또는 반응성 가스 혼합물은 수소, 질소, 산소 가스 중 적어도 하나를 포함한다.
바람직하게는 수소 또는 산소 가스로 이루어진 가스이다.
본 발명에 따른 방법의 다른 바람직한 실시예에서는 진공 챔버가 다음과 같은 압력(pv)으로 설정된다.
10-11mbar ≤pv≤ 10-8mbar
이렇게 함으로써, 기판을 감싸는 진공상태에서 오염 물질이 기판의 표면으로 증착되는 것이 방지된다.
본 발명에 따른 다른 바람직한 실시예에서, 표면으로 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물이 다음과 같은 분압(pp) 이하로 주입된다.
10-4mbar ≤pp≤1mbar
상기 표면의 노출 시점과 이런 노출 과정의 종료 시점 사이의 시간을 조절함으로써 표면에 흡착되는 반응성 가스 또는 반응성 가스 혼합물의 양을 어느 정도까지 조절할 수 있다. 이때 포화값으로 진행하는 지수함수 및 포화의 속도를 나타내는 시간 계수를 적용할 수 있다. 필요한 경우에 상기 시간 계수는 표면의 가열 및 냉각을 통해 조절할 수 있다.
본 발명에 따른 방법의 다른 바람직한 실시예에서는, 기판을 반응성 가스 또는 반응성 가스 혼합물을 포함하는 진공상태의 챔버에서 다른 진공 챔버로 전달함으로써, 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물에 표면을 노출시키는 과정을 종료한다. 또한 다른 진공 챔버에서 후속 공정 단계를 진행한다. 이렇게 함으로써, 다른 진공 챔버는 흡착된 가스의 플라즈마 방전 반응을 위해 사용하는 반면, 제1 진공 챔버를 오로지 가스 흡착을 위해서만 사용하므로 오염이 발생하지 않는다는 이점이 있다.
예를 들어 이런 방법에서는, 사전에 경우에 따라서 서로 상이한 반응성 가스 또는 반응성 가스 혼합물을 흡착한 기판의 플라즈마 방전 후속 처리를 위해 중앙에 다른 진공 챔버를 설치하고 이 중앙의 다른 진공 챔버를 중심으로 그 둘레에 복수의 "흡착" 챔버를 설치하는 것이 가능하다. 이렇게 함으로써 복수의 서로 상이한 원자 단일층으로 이루어진 코팅 시스템을 적층 구조로 구축할 수 있다.
또한 복수의 경우에서는 중앙 플라즈마 반응 챔버에서 전술한 저에너지 플라즈마 방전을 실시하면서 서로 상이한 또는 동일한 원자 단일층을 순차적으로 증착하기 위해 바람직하게는 질소 및/또는 수소 및/또는 산소, 더욱 바람직하게는 수소와 같은 동일한 제2 반응성 가스 또는 반응성 가스 혼합물을 투입할 수 있다. 또한 그 반대로, 플라즈마에서 흡착된 반응성 가스 또는 반응성 가스 혼합물의 반응을 위해 복수의 다른 진공 챔버를 "흡착" 챔버에 배치할 수도 있는데, 이런 배치 형태는, 특히 흡착 단계가 플라즈마에서의 반응 단계보다 시간적으로 더 짧을 경우에 바람직하다.
본 발명에 따른 다른 바람직한 실시예에서는, 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물을 통한 표면의 노출이 진공 챔버에 잔류하는 반응성 가스 또는 반응성 가스 혼합물를 펌핑함으로서 종료된다.
또한 바람직하게는, 이런 펌핑을 진공 챔버 내에서 다음과 같은 총압력(pv')에 도달할 때까지 진행한다.
10-11mbar ≤ pv' ≤ 10-8mbar
다르게 표현하자면, 반응성 가스의 노출이 종료될 때, 가스 노출 전에 형성되었던 것과 동일한 압력 상태, 즉 초고진공 상태로 전환된다.
전술한 바와 같이, 불활성 가스 분압 및/또는 제2 반응성 가스 또는 반응성 가스 혼합물의 분압과는 무관하게, 전술한 잔류 가스 초고진공 압력 상태로 조절된 다른 진공 챔버로 기판을 전달함으로써 흡착 단계가 종료된다.
전술한 형태의 저에너지 플라즈마를 투입함으로 인해, 플라즈마에 의해 발생한 이온 및 전자의 동시 작용 하에서 이루어지는 흡착된 반응성 가스 또는 반응성 가스 혼합물의 반응은 시간적으로 제한을 받지 않는다. 이 공정은 근사하게나마 다시 점근선의 형태로 진행한다. 플라즈마 처리가 적어도 설정한 최소 기간 중에 정상적으로 이루어지는 경우에는, 그 이후에도 발생한 폐쇄된 원자 단일층에 거의 영향을 미치지 않으면서 이런 처리 공정이 정상적으로 유지될 수 있다. 이 사항은, 예를 들어 복잡한 추가적인 표면 처리 단계를 포함하는 본 발명에 따른 방법의 자동화 및 동기화에 있어 상당한 이점으로 작용한다.
공정 단계 d2) 이후에 본 발명에 따른 방법을 중단하는 경우에는, 흡착량(노출 시간의 제어) 및/또는 흡착에 제공하는 가스 원자의 양 및 플라즈마 작용(플라즈마 처리 시간 및/또는 플라즈마 처리 강도) 및/또는 제2 반응성 가스 또는 반응성 가스 혼합물의 사용(라디칼 및 이온의 형성)에 따라서 폐쇄된 원자 단일층의 형성 전까지 서로 상이한 밀도의 원자 단일층이 형성된다. 폐쇄된 원자 단일층을 추구하지 않는 경우에는, 임플란트 테크닉과 유사한 방식으로 기판 표면 또는 이미 증착된 폐쇄형 원자 단일층 상으로 기저부와 동일한 유형 또는 다른 유형 또는 다른 재료의 원자를 단지 "부분적"으로 증착할 수도 있다.
본 발명의 다른 바람직한 실시예에서는, 적어도 b) 내지 d2)까지의 공정 단계를 적어도 2회 진행하며, 이렇게 함으로써 적어도 2개의 원자 단일층을 적층으로 증착한다. 또한 단일층에 따라서 서로 다른 반응성 가스 또는 반응성 가스 혼합물을 표면에 흡착할 수 있고, 마찬가지로 경우에 따라서 플라즈마 방전 분위기로 투입되는 제2 반응성 가스 또는 반응성 가스 혼합물도 서로 상이할 수 있으므로, 서로 상이한 층을 단일층으로서 증착할 수 있다. 적층형(epitaxial) 코팅을 추구하는경우에는, 이형적층형 코팅이 발생한다. 모든 층에 동일한 재료를 증착한 경우에는, 적층 성장(epitaxial growth)에서 동형적층이 발생한다.
본 발명의 바람직한 실시예에서는, 단계 d2)를 적어도 1회 실시한 후에 또는 공정 단계 b) 내지 d2)까지의 사이클을 복수의 n회 진행한 후에 다른 재료를 상기 공정 후의 표면에 증착하는 것이 원칙적으로 가능하며, 이때 진공 증착, 습식화학 공정 또는 전기도금과 같은 이미 알려진 코팅 방법을 사용할 수 있다.
본 발명에 따른 방법의 다른 더욱 바람직한 실시예에서는, 상기 표면을 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물에 노출하기 전에, 전술한 표면에서 다음과 같은 이온 에너지 및 전자 에너지(Ee1)를 갖는, 저에너지 불활성 플라즈마, 바람직하게는 아르곤 플라즈마에 상기 표면을 노출시킨다.
0eV 〈 EI1≤ 20eV
바람직하게는,
0eV 〈 EI1≤ 15eV,
0eV 〈 Ee1≤ 100eV.
이렇게 함으로써 다시 가스를 흡착하는 표면에서 확정적인 표면 상태를 실현한다.
본 발명에 따른 방법의 다른 바람직한 실시예에서는, 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물에 상기 표면을 노출시키기 전에, 다른 반응성 가스를 포함하는 분위기에서 상기 표면을 저에너지 플라즈마에 노출시키며, 이때 기판 표면에서의 이온 에너지(EI2) 및 전자 에너지(Ee2)는 다음과 같다.
0eV 〈 EI2≤ 20eV
바람직하게는,
0eV 〈 EI2≤ 15eV,
0eV 〈 Ee2≤ 100eV.
또한 다시 가스 흡착이 이루어지는 표면에서 확정적인 표면 상태가 실현되며, 상기 표면을 세척한다. 이때 바람직하게는 수소, 질소 또는 산소 중 하나를 포함하는 다른 반응성 가스를 사용한다. 또한 수소를 포함하는 대기, 바람직하게는 경우에 따라서 수소 외에도 아르곤과 같은 불활성 가스를 포함하는 대기를 사용하는 것이 바람직하다.
다음에서는 가스 흡착 및 흡착된 가스의 반응 후에 진행하는 공정 단계에 대해 설명한다.
본 발명에 따른 방법의 바람직한 실시예에서는, 흡착된 반응성 가스 또는 반응성 가스 혼합물의 반응 후에, 표면에서 다음과 같은 이온 에너지(EI3) 및 전자 에너지(Ee3)를 갖는 저에너지 불활성 가스 플라즈마, 바람직하게는 아르곤 플라즈마에 상기 표면을 노출시킨다.
0eV 〈 EI3≤ 20eV
바람직하게는,
0eV 〈 EI3≤ 15eV,
0eV 〈 Ee3≤ 100eV.
본 발명에 따른 다른 바람직한 실시예에서는, 흡착된 반응성 가스의 반응 후에, 다른 반응성 가스 또는 반응성 가스 혼합물을 포함하는 분위기에서 상기 표면이 저에너지 플라즈마 방전에 노출되며, 이때 기판 표면에서의 이온 에너지(EI4) 및 전자 에너지(Ee4)는 다음과 같다.
0eV 〈 EI4≤ 20eV
바람직하게는,
0eV 〈 EI4≤ 15eV,
0eV 〈 Ee4≤ 100eV.
마찬가지로 상기 실시예에서는 바람직하게는 다른 반응성 가스로서 수소, 질소, 산소와 같은 가스 중 하나를 사용하며, 더욱 바람직하게는 수소를 사용한다.
또한 상기 다른 반응성 가스의 반응과 동시에 진공 챔버의 내측면을 세척한다.
전술한 바와 같이, 흡착된 반응성 가스의 반응을 위해 수소, 질소 또는 산소 가스 중 하나를 포함하거나, 바람직하게는 수소의 비중이 높은 반응성 가스 또는 반응성 가스 혼합물에서 플라즈마 방전을 실시하는 경우에는, 펌핑 만으로 실현하는 것보다 더 신속하며, 청결하고 정확하게 진공 챔버의 내측면을 동시에 세척한다.
반응성 가스 흡착에 선행하는 적합한 플라즈마 처리 방법을 선택함으로써 다시 가스로 흡착되는 표면의 상태에 영향을 미칠 수 있다. 이렇게 함으로써 적층 증착이 이루어지는지, 또는 미세척, 무정형 및 다결정질 기판 표면에서 무정형 증착 또는 다결정질 증착이 이루어지게 영향을 미칠 수 있다. 또한 기판 표면의 온도고 이와 관련한 성장 특정에 영향을 미친다.
재료처리된 기판을 제조하기 위한 본 발명에 따른 방법을 통해 다음 재료들 중 적어도 하나로 기판을 처리한다.
규소, 게르마늄, 티타늄, 탄탈, 하프늄, 지르코늄, 알루미늄, 니오븀, 텅스텐 및/또는 다음과 같은 금속의 산화물 또는 질소화물 또는 질소산화물.
알루미늄, 티타늄, 구리, 텅스텐, 탄탈 또는 이런 재료의 혼합물. 바람직하게는 다음과 같은 재료들 중 적어도 하나로 전술한 표면 처리가 이루어진다.
산화규소, 산화탄탈, 산화지르콘, 질화티탄, 질화탄탈, 질화텅스텐 (TaSi)xNy.
본 발명에 따른 방법의 다른 바람직한 실시예에서는, 기판의 표면을 감싸는 공정 대기가 공정 단계 b) 및 c) 및/또는 d) 내지 d2) 중 적어도 하나의 단계에서 둘레에 존재하는 진공 챔버의 내벽에 의해 분리된다. 또한 이런 분리는, 흡착되어야 하는 표면이 흡착을 위해 반응성 가스 또는 반응성 가스 혼합물에 노출되는 동안에 이런 노출이 종료될 때까지 이루어지거나 또는 흡착된 가스가 반응하는 동안에 이루어진다.
주위 압력에 대해 필요한 진공 기술적 압력을 확보하는 구조물과, 처리 공정에 직접 노출되는 구조물을 기능적으로 분리하는 것은, 자동화된 제조 공정의 진행에서 본 발명에 따른 방법의 청결도 요건 및/또는 최적의 통합성과 관련해 상당한 이점을 제공한다.
본 발명에서 처리되어야 하는 기판 표면은 이미 처리된 또는 코팅된 기판의 표면으로 이해해야 한다. 다음에서는 본 발명에 따른 방법에 매우 적합한 실시에 대해 설명한다.
기판을 유전성의 산화규소(SiO2) 층으로 코팅하고자 한다. 이 경우에, 식각 공정을 통해 전술한 유전체로 이루어진 층에서 깊이 대 폭의 비율이 예를 들어 1:10이고 폭이 50nm인 채널을 형성한다. 예를 들어 전기 도금법으로 소위 "상호접속(interconnection)"을 형성하기 이 채널에 전기 전도성의 재료, 특히 구리를 채워야 한다. 구리를 유전체의 표면에 증착하는 것은 접착력과 관련해 매우 난해하다. 이제 본 발명에 따른 방법으로, 채널을 포함하는 유전체 층의 표면에 단지 약간의 원자 단일층을 포함하는 라이너(liner)를 접착 매개층으로서 유전체와 전기 전도 재료 사이에 증착한다. 라이너는 극도로 작은 두께로 인해 전술한 상호접속 채널의 도체 횡단면에 거의 영향을 미치지 않는다. 이런 접착 매개층은 라이너 층 또는 "종자 층(seed-layer)"으로 알려져 있다.
본 발명에 따른 방법의 다른 바람직한 실시예에서는, 흡착 단계 전 및/또는흡착된 반응성 가스 또는 반응성 가스 혼합물의 반응 후에 플라즈마를 이용하는 세척 단계를 통해 기판 표면(경우에 따라서는 기판 코팅 표면)을 청소한다. 이런 세척 단계에서는 바람직하게는 적어도 수소를 포함하는, 세척 프로세스 챔버로 주입한 반응성 가스 또는 반응성 가스 혼합물이, 기판 표면에서 다음과 같은 이온 에너지(Er) 및 전자 에너지(Eer)를 갖는 저에너지 플라즈마를 통해 활성화된다.
0eV 〈 Er≤ 20eV
바람직하게는,
0eV 〈 Er≤ 15eV,
0eV 〈 Eer≤ 100eV.
적어도 하나의 세척 단계를 포함하는, 본 발명에 따른 방법의 바람직한 실시예에서는, 세척 공정 중에 세척 공정 대기가 금속 커버를 통해, 둘레에 존재하는 세척 진공 챔버로부터 분리되거나 또는 바람직하게는 상기 공정 대기가 둘레에 존재하는 세척 진공 챔버의 내측벽을 통해 제한된다.
바람직하게는, 전술한 조건을 전제로 하여, 본 발명에 따라 투입되며, 단계 b) 및 c) 및/또는 d) 내지 d2)를 포함하는 공정 단계가 유전체를 통해 다른 금속성 벽과 분리되는, 주위 압력과 동일한 압력의 공정 대기에서 실시되는 경우에는, 상기 공정 대기를 다른 금속성 벽과 유전체로 분리함으로서 저비용으로 전술한 세척 단계를 실시할 수 있다. 또한 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물에 기판 표면을 최초로 노출시키기 전에 세척 공정을 실시함으로써 진공 챔버벽의 오염이 발생할 수 있다는 점에 유의해야 한다.
이미 전술한 바와 같이, 본 발명의 바람직한 실시예에서는 공정 단계 a) 내지 d2)까지의 공정을 단 1회 실시함으로써 선택적으로 조절 가능한 밀도 내지 폐쇄된 층을 포함하는 표면에 단 하나의 원자 단일층이 증착된다. 다른 바람직한 실시예에서는, 공정 단계 a) 내지 d2)까지의 공정을 반복적으로 실시함으로써 예를 들어 적층형의 층이 성장한다. 동일한 흡착 반응성 가스 또는 반응성 가스 혼합물을 사용하는 경우에는 단결정질의 세척된 표면에서 적층형 성장으로 동형적층형 층이 형성되며, 증착된 단일층의 수에 따라 흡착 반응성 가스를 교체하는 경우에는 매우 얇은 이형적층형 층이 형성된다.
본 발명에 따른 다른 바람직한 실시예에서는, 사전에 설정한 횟수만큼 단계 b) 내지 d2)까지의 공정 단계를 실시한 후에 복수의 기판에서 순차적으로 진공 챔버의 프로세스 챔버가, 기판이 투입되지 않은 상태에서 플라즈마를 이용하는 프로세스 챔버 세척 단계를 거치거나 또는 기판 모형(mockup)을 투입한 상태에서 바람직하게는 식각 단계를 포함하는 프로세스 챔버 세척 단계를 거치고, 이런 세척 단계 후에 바람직하게는 수소, 불활성 가스 또는 이런 가스의 혼합물을 포함하는 플라즈마에서 세척 단계를 실시한다.
본 발명에 따른 방법의 다른 바람직한 실시예에서는, 단계 a) 전 및/또는 단계 d2) 후에 전술한 진공 챔버와 분리된 구간에서 기판 세척 단계를 실시하며, 이때전술한 진공 챔버와 진공의 세척 챔버 사이에서 기판 이송이 이루어진다.
바람직하게는 이런 이송은 적어도 일부 구간에서 직선으로 실현되며, 더욱 바람직하게는 이런 이송이 전술한 진공 챔버로 직선 안내 동작이 이루어지는 궤도 또는 바람직하게는 방사상으로 동작하는 부재를 포함하는 궤도를 따라 실현된다.
본 발명에 따른 방법의 다른 바람직한 실시예에서는, 단계 b) 및 c) 및/또는 d) 내지 d2)를 포함하는 공정 중에 공정 대기가, 새로운 상태에서는 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물 및/또는 플라즈마 활성의 제2 반응성 가스 또는 반응성 가스 혼합물에 대해 화학적으로 불활성인 표면, 바람직하게는 유전성 또는 흑연 표면을 통해, 주위에 존재하는 진공 챔버의 내벽과 분리된다.
다른 바람직한 실시예에서는, 상기 불활성 표면이, 거의 대부분의 구간에서 진공 챔버의 내벽으로부터 이격된, 분리벽의 표면으로서 설계된다. 바람직하게는 분리를 위한 벽은 새로운 상태에서 적어도 다음과 같은 재료들 중 하나로 실현된다.
석영, 흑연, 탄화규소, 질화규소, 산화알미늄, 산화티탄, 산화탄탈, 산화니오브, 산화지르콘 또는 이런 재료의 적층된 조합, 다이아몬드와 유사한 탄소 또는 다이아몬드.
본 발명에 따른 방법의 바람직한 실시예에서는, 전자 에너지가 50eV 이하인 전자 발생원, 바람직하게는 DC-방전을 통해 플라즈마 방전이 실현된다.
또한 바람직하게는 상기 플라즈마 방전은 열이온 음극, 바람직하게는 직접가열형 열이온 음극을 통해 실현된다.
진공 챔버의 프로세스 챔버에서 전술한 플라즈마 방전을 위해 바람직하게는 엇갈리게 배치되며 개별적으로 가열이 가능한 적어도 두 개의 양극을 사용하며, 이 양극은 개별적으로 전기적 작동이 가능하고, 상기 양극에 가하는 전위 및/또는 양극 온도는 제어 또는 조절이 가능하므로, 표면에서의 플라즈마 밀도 분포를 정역학적 또는 동역학적으로 조절 또는 제어할 수 있다. 다른 바람직한 실시예에서는, 플라즈마 방전을 위한 음극 및 양극이 상기 표면에 대해 거의 수직 및 동심성으로 배치된다.
본 발명에 따른 방법의 다른 바람직한 실시예에서는, 플라즈마 방전의 발생 중에 프로세스 챔버에서 자기장이 발생하며, 이 자기장을 이용해 표면에서의 플라즈마 밀도 분포를 정역학적 및/또는 동역학적으로 조절 또는 제어한다. 또한 플라즈마 밀도 분포를 적어도 국부적으로 이동할 수 있으므로 플라즈마 내에 고정된 기판을 방전부에 대해 이동하는 것과 같은 효과를 얻는다.
본 발명에 따른 방법의 바람직한 실시예에서는, 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물이 기판 표면에 대해 거의 평행하게, 기판 표면으로부터 동일한 간격을 갖는 주입구를 통해 프로세스 챔버로 주입된다. 다른 바람직한 실시예에서는, 상기 기판이 규소 층에 형성된 채널을 포함하는 산화규소로 코팅한 기판으로 형성되며, 단계 d2)를 n-회 반복한 후에 상기 채널에 구리를 증착한다. 또한 n은 1보다 크다.
도1은 본 발명에 따른 방법의 실시를 위해 투입된, 유형 I의 프로세스 모듈(process module)을 도식적으로 도시한다. 진공 챔버(3)의 챔버 벽(1)은 프로세스 챔버(process chamber)(PR)를 형성한다. 상기 프로세스 챔버(PR)에는 기판 캐리어(substrate carrier)(5)가 장착된다. 프로세스 챔버(PR)는 약식으로 도시한 진공 펌프(13)의 펌프 커넥터(pump connector)(11)를 통해, 본 발명에 따른 제조 방법의 구현을 위해 필요한 압력(pv)을 제공한다.
10-11mbar ≤pv≤10-8mbar
상기 진공 챔버의 초고진공(ultra high vacuum) 조건을 충족시킨다(예: 가열 가능한 금속 밀폐형 진공 용기). 일반적으로 스테인레스 강철 또는 이녹스(inox)로 이루어진 챔버 벽(1)의, 프로세스 챔버(PR)에 접하는 표면의 거의 대부분의 표면부는, 차후에 상세히 설명되는 불활성 재료로 제조한다. 도1에 도시한 유형 I의 프로세스 모듈의 실시예에서는, 전술한 불활성 재료로 챔버 벽(1)을 코팅하거나 또는 챔버 벽(1)의 적어도 내측 표면에는 전술한 불활성 재료를 조립한다. 상기 코팅 또는 불활성 재료 표면은 도1에서 부호(15)로 표시한다.
서문에 명시한 바와 같이, 본 발명에 따른 제조 공정은 동일한 진공 챔버에서 비강제적으로 실시하는, 다음과 같은 두 가지 단계를 포함한다.
- 제1 단계(Ph1): 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물에 노출 종료 시점까지 기판 표면을 노출시키는 단계.
- 제2 단계(Ph2): 저에너지 플라즈마 방전에 기판 표면을 노출시키고 이로써 흡착된 반응성 가스가 반응하는 단계.
이온 에너지(E)를 통해 제2 단계(Ph2)에서 저에너지 플라즈마 방전으로서 플라즈마 방전이 형성될 때, 서문에 명시한 바와 같이, 플라즈마 방전이 이루어지는 대기가 제2 반응성 가스 또는 반응성 가스 혼합물, 특히 바람직하게는 수소 및/또는 질소 및/또는 산소를 포함할 수 있다.
도1에 도시한 유형 I의 프로세스 모듈은 단계(Ph1) 및/또는 단계(Ph2)의 실시에 매우 적합하다. 도1에 도식적으로 도시한 바와 같이, 기체 유입관(7)이 진공 챔버(3)의 프로세스 챔버(PR)에 연결된다. 선택 스위치(Sa)와 함께 도식적으로 도시한 바와 같이, 진공 챔버(3)에서 단계(Ph1)를 실시하기 위해 유입관(7) 만이, 기판 표면에 의해 흡착되는 반응성 가스 또는 반응성 가스 혼합물(R1)을 포함하는 가스 탱크(9ph1)와 결합한다.
이와는 달리, 오로지 진공 챔버(3)를 단계(Ph2)의 실시를 위해서만 투입하는경우에는 유입관(7)이, 바람직하게는 아르곤(Ar)과 같은 불활성 가스 및/또는 바람직하게는 수소, 산소 및/또는 질소와 같은, 점선으로 도시한, 제2 반응성 가스(R2)를 포함하는, 가스 탱크(9ph2)와 결합한다.
진공 챔버(3)에서 단계(Ph1) 뿐 아니라 단계(Ph2)도 실시하는 경우에는, 도1에 도시한 타이머 장치(10) 및 전환기(12)를 통해 사전에 설정된 시간 간격마다 유입관(7)이 가스 탱크(9ph1) 뿐 아니라 가스 탱크(9ph2)와도 연결된다.
진공 챔버(3)에서 단계(Ph2)를 단독으로 실시하거나 또는 단계(Ph1)와 함께 실시하는 경우에는, 블록(10ph2)에 도시한 바와 같이, 진공 챔버(3) 내에는 플라즈마 방전부(PL), 즉 저에너지 플라즈마 방전부를 형성한다. 도1과 관련하여, 블록(10ph2)의 이중화살표는 진공 챔버(3)에 플라즈마 방전부가 내장되었음을 의미하며, 선택 스위치(Sa)의 이중선은, 이 선택 스위치가 유형 I의 프로세스 모듈의 실현 시 선택 사양임을 나타낸다.
기판이 기판 캐리어(5)에 고정된 후에, 단계(Ph1)에서는 반응성 가스 또는 반응성 가스 혼합물(R1)이 유입되고 기판의 표면에 흡착된다. 그 다음 기판이 단계(Ph2)에 제공되며, 상기 단계는 동일한 진공 챔버(3)에서 진행되거나 또는 상응하게 형성된 다른 진공 챔버(3)에서 실시된다. 흡착 과정의 종료를 위해 양측 단계에 사용된 진공 챔버(3)를 초고진공 상태로 펌핑하거나 또는 기판이 흡착된 가스와함께 제공되기 전에, 이 초고진공 상태로 펌핑되거나 또는 펌핑된 다른 진공 챔버를 사용한다.
그 다음, 바람직하게는 아르곤(Ar)인 불활성 가스를 주입하거나 및/또는 다른 반응성 가스를 주입하고, 바람직하게는 DC 방전인 저에너지 플라즈마 방전을 실시한다. DC-방전 시 바람직하게는 열이온 음극(thermoionic cathode), 더욱 바람직하게는 직접 가열형 열이온 음극을 이용해 다른 바람직한 의존적 방전(dependent discharge)을 실시한다.
기판이 반응성 가스(R1) 또는 반응성 가스 혼합물가 단계(Ph1)에 노출되는 기간 및/또는 기판의 표면 특성 및/또는 주입하는 반응성 가스 또는 반응성 가스 홉합물의 양을 정확하게 제어함으로써 흡착된 가스 원자 또는 분자를 통한 기판 표면의 점유도(occupancy level)를 정확하게 제어할 수 있다.
바람직하게는 상기 단계((Ph1)에서 반응성 가스 또는 반응성 가스 혼합물을 다음과 같은 분압(Pp) 조건에서 주입한다.
10-4mbar ≤Pp≤1mbar
또한 흡착 속도, 즉 기판 표면이 설정된 백분율까지 반응성 가스 원자 또는 분자 또는 반응성 가스 혼합물 원자 또는 분자에 의해 포화될 때까지 필요한 기간을, 기판 캐리어(5)의 상응하는 가열 및/또는 냉각을 통해 기판 표면을 (도에는 도시하지 않은) 가열 및/또는 냉각함으로써 제어할 수 있다.
서문에서 이미 설명한 바와 같이, 단계(Ph2)에서는 흡착된 가스가 가스 이온 및 전자의 동시 작용 하에서, 경우에 따라서는 플라즈마 방전에서 형성된, 제2 반응성 가스 또는 반응성 가스 혼합물(R2)의 라디칼(radical)을 통해 기판의 표면에서 반응한다. 또한 기판 표면이 플라즈마 방전에서 흡착된 가스에 노출되는 기간, 가스 이온 및 전자의 에너지, 및 방전, 및 해당 라디칼의 양 및 이 라디칼의 활성을 상응하게 조절함으로써 이 단계(Ph2)에서도 사전에 흡착된, "안정화된" 가스 원자 또는 분자의 양을 제어할 수 있고 이로서 최종적으로 원자 또는 분자를 통한 표면의 점유도를 제어할 수 있다. 대부분의 경우에서는 기판 표면 상에서 일분자 또는 일원자 단일층을 실현하고자 노력한다. 즉 원자가 원자에 접하거나 또는 분자가 분자에 접하는 완전한 포화를 지향한다.
바람직하게는 프로세스 챔버(PR)에 대향한, 도1에 따른 표면의 재료로서 유전체(dielectric material)를 사용한다. 이 재료는, 진공 챔버(3)에서 어떤 단계를 실시하느냐에 따라서, 반응성 가스 또는 반응성 가스 혼합물(R1) 및/또는 단계(Ph2)에 투입된, 플라즈마 활성의 제2 반응성 가스 또는 반응성 가스 혼합물(R2)에 대해 불활성이어야 한다.
바람직하게는 다음의 그룹(G)에 명시한 재료들 중 하나를 이 표면(15)을 위해 사용한다.
석영, 흑연, 탄화규소, 질화규소, 산화 알루미늄, 산화 티타늄, 탄탈륨 산화물, 니오븀 산화물(niobium oxide), 지르코늄 산화물, 다이아몬드와 유사한 탄소 또는 다이아몬드, 코팅 재료로서 최종 표면 재료.
도2에는 도1에 상응하게 도시한, 본 발명에 따른 도1의 유형 I의 프로세스 모듈에 대한 바람직한 실시예가 도식적으로 도시되어 있다. 공정 및 프로세스 제조와 관련하여, 가스 탱크 및 경우에 따라서는 플라즈마 방전부는 도1에 따른 실시예의 그것과 동일하다. 도2에서는 도1에서 이미 설명한 부품에 동일한 부호가 사용되었다. 도1에 따른 실시예와는 달리, 도2에 따른 실시예에서는 프로세스 챔버(PR)가 바람직하게는 스테인레스 강철 또는 이녹스로 이루어진 챔버 벽(1)의 대부분의 구간을 따라 이격된 프로세스 챔버벽(14)을 통해 구획된다. 적어도 상기 프로세스 챔버벽의 프로세스 챔버(PR)를 향하는 표면(15a)은, 바람직하게는 전술한 유전체 또는 더욱 바람직하게는 그룹(G)의 재료 중 적어도 하나와 같은, 도1에서 이미 설명한 불활성 재료로 이루어진다.
챔버 벽(1)을 포함하는 진공 챔버 내에서 프로세스 챔버의 외벽을 형성하는 프로세스 챔버벽(14)은 표면(15a)을 형성하는 재료로 이루어지거나 또는 표면(15a)을 형성하는 불활성 재료가 (도에는 도시하지 않은) 챔버 벽(1)의 대향측 지지벽 상에 예를 들어 코팅을 통해 구축된다. 프로세스 챔버(PR)에 노출되지 않으므로, 이런 지지벽은 예를 들어 스테인레스 강철 또는 이녹스로 이루어진다. 펌프 커넥터(11) 또는 펌프(13)를 통해 프로세스 챔버(PR)가 도1과 관련하여 이미 설명된 잔류 가스 분압으로 펌핑되며, 반면에 예를 들어 도2에 도시된 바와 같은 진공 챔버 벽(1)과 프로세스 챔버벽(14) 사이의 사이 공간(ZW)은 동일한 진공 펌프 또는다른 진공 펌프의 별도의 펌프 커넥터(11a)를 통해 펌핑된다.
별도의 설명을 하지 않아도 전문가라면, 상기 양측 공간, 즉 프로세스 챔버(PR) 및 사이 공간(ZW)을 펌핑하기 위해 동일한 진공 펌프(13)를 사용하는 경우에도 제어 가능한 적합한 스로틀 장치를 해당 펌프 커넥터(11, 11a)에 장착할 수 있다는 것을 이해한다. 본 발명에 따른 방법의 단계(Ph2)를 이행하기 위해 도2에 따른 모듈에도 투입하는 저에너지 플라즈마와 관련하여, 이미 도1과 관련해 전술한 설명이 동일하게 적용된다. 도2에 따른 실시예에서 프로세스 챔버벽(14)을 통해 형성된 외벽은 바람직하게는 진공 챔버(3a)에서 교체 가능한 형태로 형성된다. 다음에서 설명되는, 도3 및 도4에 도시한 유형 II의 프로세스 모듈은 본 발명에 따른 제조 방법의 범위 내에서 바람직하게는 청소 모듈로서 투입한다. 서문에서 이미 설명한 바와 같이, 바람직하게는 기판 표면이 가스 흡착을 위해 공급되기 전에, 기판 표면이 컨디셔닝 또는 세척된다. 바람직하게는 이런 과정은 플라즈마 활성의 반응성 가스 또는 반응성 가스 혼합물, 더욱 바람직하게는 플라즈마 활성의 수소를 통해 실시한다. 이 경우에도 전술한 구간의 기판 표면에서 이온 에너지(Er)를 통해 저에너지 플라즈마가 투입된다. 이때 플라즈마 방전으로서 바람직하게는 DC-방전, 더욱 바람직하게는 의존적 방전이 투입된다. 바람직한 실시 변형으로서 열이온 음극, 더욱 바람직하게는 직접 가열형 열이온 음극을 이용한 방전이 투입된다.
특히 최초의 가스 흡착 단계(Ph1) 전에 실시하는 이런 기판 표면 컨디셔닝 또는 세척 단계 외에도 본 발명에 따른 전술된 단계(Ph2)의 종료 후에도 이러한 표면 처리 단계가 실시될 수 있다. 이미 전술한 바와 같이 바람직하게는 다음에서 설명하는 도3 또는 도4에 따른 프로세스 모듈이 투입된다.
도3에 도시한 모듈은, 프로세스 챔버(PR)를 감싸는 표면(15b)이 도2에 따른 프로세스 모듈과 관련해 설명한 불활성 요건, 즉 프로세스 챔버벽(14a) 및 챔버 벽(1)을 스테인레스 강철 또는 이녹스 또는 다른 금속으로 제조하는 것과 관련하여 설명한 요건을 충족시키지 못한다는 점에서, 도2에 도시한 모듈과 차이점을 갖는다. 또한 가스 탱크(9R)는 특히 세척 목적으로 투입하는 반응성 가스 또는 반응성 가스 혼합물(Rr)을 포함하며, 진공 챔버(3a)에는 (도에 도시하지 않은) 전술한 요건을 충족하는 저에너지 플라즈마 방전부가 형성된다.
바람직하게는 금속성 프로세스 챔버벽(14a)이 교체 가능하므로, 도3에 따른 실시예에서 유형 II의 프로세스 모듈이 별도의 조치 없이 도2에 따른 유형 I의 프로세스 모듈로 전환되거나 또는 그 반대로 전환될 수 있다.
도4에는 도1 내지 도3에 따른 방법으로 도시한, 유형 III의 프로세스 모듈에 대한 다른 도식적 실시예가 도시되어 있다. 도3을 통해 설명한 실시예에서와는 달리, 프로세스 챔버(PR)가, 예를 들어 스테인레스 강철 또는 이녹스로 이루어지는 챔버 벽(1)에 의해 직접 제한된다.
상기 도면에서는, 도1 또는 도2에 따른 유형 I의 프로세스 모듈과 도3 또는 도4에 따른 유형 II의 모듈이 프로세스 챔버벽(14, 14a)을 제거하거나 또는 투입함으로써 서로 전환 가능하다는 것을 쉽게 알 수 있다.
도5에는 도2에 따른 유형 I의 프로세스 모듈에 대한 바람직한 실시예가 도시되어 있다. 도2에 따른 모듈을 기본으로 하여, 도5에 따른 모듈에서 추가적으로 실시된 바람직한 조치들이 도2에 따른 원칙적인 모듈에 개별적으로 또는 조합적으로 적용될 수 있다. 도5에 따른 프로세스 모듈은 본 발명에 따른 제조 방법의 양측 단계(Ph1, Ph2)를 실시하기 위해 설계되었다.
이에 상응하게 클럭 비율(clock ration)이 시간에 따라 제어되며 가스 주입도 단계에 따라 제어된다.
바람직하게는 도5에 따른 프로세스 모듈의 챔버 벽(101)은 스테인레스 강철 또는 이녹스로 제조되며, 본 발명에 따른 제조 방법의 단계(Ph2)에서 프로세스 챔버(PR) 내에서 플라즈마 방전을 동시에 발생시키기 위해 바람직하게는 자신의 상부마감 플레이트(103)의 중앙에서 전자 발생원(electron source)(105)을 지지한다. 본 발명에서 필요한 기판부에서의 낮은 이온 에너지에서 제2 반응성 가스 또는 반응성 가스 혼합물을 단계(Ph2)에서도 투입할 수 있도록 하기 위해, 예를 들어 마이크로 플라즈마와 같은 다른 플라즈마가 투입될 수 있으며, 이와 관련해 다음에서 상세히 설명한다.
바람직하게는, 최고 100eV, 바람직하게는 최고 50eV의 전자 에너지를 갖는 전자를 방출하는 전자 발생원(105)과 같은 전자 공급원을 설치한다. 바람직한 실시예에서는, 전자 발생원에 의해 DC-방전으로서 의존적 방전이 실현된다. 바람직하게는, 도5에 따른 전자 발생원(105)은 열이온 음극, 바람직하게는 직접 가열형 열이온 음극(107)을 통해 형성되며, 챔버 벽(101, 103)에 대해 전기적으로 절연된 음극 챔버벽을 포함하는 음극 챔버(109)에 설치된다. 상기 음극 챔버는 개구(111)를 통해 프로세스 챔버(PR)와 연통한다. 열이온 음극(107)을 경우에 따라서 단계(Ph2)에도 투입하는 제2 반응성 가스 또는 반응성 가스 혼합물의 영향으로부터 보호하고 전자 방출효율을 증대시키기 위해서, 단계(Ph2)에 투입된 불활성 가스, 바람직하게는 아르곤을 음극 챔버(109)에 주입한다. 챔버 벽(101, 103)으로부터 이격되며, 사이 공간(ZW)에 고정되고, 프로세스 챔버(PR)를 감싸도록 프로세스 챔버벽(113)이, 바람직하게는 교체 가능한 형태로, 도2에 따른 방법에 따라 조립된다. 상기 프로세스 챔버벽(113) 및 사이 공간(ZW) 내에서 프로세스 챔버(PR)가 동일한 펌프 커넥터(115)를 통해 펌핑된다. 경우에 따라서 서로 상이한 펌프가 공간(ZW) 및 프로세스 챔버(PR)에 연결될 수 있다.
프로세스 챔버(PR) 내에서 공정 단계(Ph2)를 위해 양극 장치가 작용한다. 바람직하게는 상기 양극 장치는 개구축(A)에 대해 동심성으로 배치된 두 개 또는 복수의 양극(117a, 117b)을 포함한다. 상기 양극은 서로 독립적으로 (도면에 도시되지 않은) 대지 전위(earth potential) 또는 해당 양극 전위로 연결할 수 있으며, 바람직하게는 상기 대지 전위 또는 양극 전위는 서로 개별적으로 조절할 수 있다. 또한 바람직하게는 금속성 챔버 벽(101, 103)이 기준 전위, 바람직하게는 대지 전위에 연결된다. 개구축(A)에 대해 양측방에 배치된 양극(117a, 117b)은 서로 독립적으로 운전 가능할 뿐만 아니라, 바람직하게는 (도에는 도시하지 않은 방법에 따라) 서로 독립적으로 가열 또는 냉각이 가능하다. 이런 가열 및 냉각은, 상기 양극에 열처리 매질관을 안내하고 및/또는 가열 코일을 조립함으로써 가능하다.
도5에는 바람직하게 투입되는 플라즈마 발생 장치에 의해 형성되며, 개구축 (A)에 대해 동심성으로 형성된 경험적(heuristic) 플라즈마 밀도 분포(V)를 포함하는 플라즈마 빔(PL)이 쇄선으로 도시되어 있다. 단계(Ph2)에서는 기판 표면을 흡착된 반응성 가스와 함께 플라즈마 빔(PL)에 직접 노출시킨다. 양극(117a, 117b)에 양극 전위를 가하거나 또는 이 양극을 적절하게 열처리함으로써 플라즈마 분포(V)를 선택적으로 조절하고, 특히 기판 표면 상에서 적어도 근사하게나마 균일하게 분포하도록 조절할 수 있다.
기판 홀더(119)를 프로세스 챔버(PR)에 조립하거나 또는 차후에 설명하는 바와 같이 프로세스 챔버(PR)에 고정할 수 있다. 원판형 기판(120)의 바람직한 처리를 위해 기판 홀더(119) 및 지지면(119a)을 개구축(A)에 대해 평행하게 또는 경사각으로 또는 도5에 따라 수직이지만 편심으로 배치하는 것이 가능함에도 불구하고, 바람직한 실시예에서는 지지면(119a) 및 기판 홀더(119)가 개구(111)의 개구축(A)에 대해 동심 및 거의 수직으로 배치된다.
다른 바람직한 실시예에서는, 이중화살표(F)로 도시한 바와 같이, 외부 구동장치(121)를 통해 기판 홀더(119)를 프로세스 챔버벽(113)의 내경으로 정의된 수용구(123)에 대항하여 이동시킬 수 있다. 상기 기판 홀더(119)가 구동장치(121)를 통해 프로세스 챔버(PR)에 대항하여 완전히 위로 이동한 경우에는, 프로세스 챔버벽의 가장자리부(125)가 프로세스 챔버벽(113)의 내경으로 정의된 수용구(123)를 차단하여, 단계(Ph2)에서 전하 이송체(charge carrier)가 프로세스 챔버(PR)에서 유출되는 것이 억제되며, 공정 단계(Ph1)에서는 흡착되는 반응성 가스 또는 반응성 가스 혼합물이 사이 공간(ZW)으로 방출되는 것이 억제된다.
전술한 바와 같이 면상 또는 원판 형태의 기판(120)이, 기판 홀더(119)가 하강하는 중에, 게이트 밸브(gate valve)(129)를 통해 고정 지지대(126) 상에 놓인다. 그 다음 기판 홀더(119)가 위로 상승하며, 자신의 지지면(119a)을 통해 기 판(120)을 하부에서 지지하고, 고정 지지대(126)로부터 기판을 위로 상승시킨다. 상기 기판(120)은 프로세스 챔버(PR)의 위로 이동하며, 가공 위치에 도달하면 기판 홀더(119)가 전술한 바와 같이, 자신의 가장자리부(125)를 통해 프로세스 챔버를 차단한다.
상기 지지대(126)는, 열처리 매질 유입/배출관(128)을 통해 열처리 매질을 공급하는 기판 열처리 장치(127)에 조립한다. 전술한 바와 같이, 특히 단계(Ph1)에서 기판 표면 온도를 상응하게 조절함으로써 가스 흡착률을 제어할 수 있다.
도5에는 가공 위치에 배치된 기판 홀더(119)가 쇄선으로 표시되어 있다.
챔버 벽(101) 및 챔버 벽의 단부측 마감 플레이트(103, 131)도 본 발명에 따른 제조 방법의 단계(Ph2)에서 열처리, 바람직하게는 냉각된다. 이를 위해 외벽을 형성하는 챔버 벽(101)이 이중벽으로 형성되며, 이 사이에는 열처리 매질 시스템이 내장된다. 마찬가지로 상부 및 하부 마감 플레이트(103, 131)에도 열처리 매질관이내장된다.
진공 챔버의 외부에는 헬름홀쯔 코일(133) 및 편향 코일(135)이 조립된다. 특히 본 발명에 따른 제조 방법의 단계(Ph2)에서, 헬름홀쯔 코일(133)을 통해 개구축(A)에 대해 거의 평행하며, 이 개구축에 동심성인 자기장이 프로세스 챔버(PR) 내에 발생한다. 도6에서 도식적으로 도시한 바와 같이, 상기 자기장은 편향 코일 (135)을 통해 면(E)에서 개구축(A)에 대해 수직으로 이동한다. 자화력 분포(HA)의 이런 "이동"을 통해 플라즈마 밀도 분포(V)의 "이동"이 기판(120)을 따라 나타난다. 따라서 시간적으로 일정한 플라즈마 밀도 분포를 갖는 플라즈마 빔(PL)에 대해 기판을 이동시킬 때처럼, 플라즈마 밀도 분포(V)와 가공해야 하는 기판 표면 사이에서 "상대적 이동"이 나타난다. 이런 자기장 분포 제어를 통해 기판에서는, 실제로는 역학적인 기판의 이동이 이루어지지는 않지만 기판을 플라즈마 빔(PL)에 대해 역학적으로 이동시키는 것과 같은, 동일한 효과가 나타난다.
본 발명에 따른 제조 방법의 단계(Ph1)를 실현하기 위해서, 바람직하게는 사전에 세척된 기판(120)이 가공 위치로 이동한 후에, 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물을 반응성 가스 입구(137)를 통해 프로세스 챔버(PR)로 주입한다. 도시된 바와 같이 상기 반응성 가스 입구는 바람직하게는 개구축(A)에 대해 동심성으로 가공 위치에 존재하는 기판(120) 또는 기판 캐리어(119)의 바로 인접한 구간에 배치되며, 유입구는 처리되어야 하는 기판 표면에 대해 거의 평행하다. 이미 전술한 바와 같이 바람직하게는 스테인레스 강철로 제작한 챔버 벽(101,103)특히 단계(Ph2)에서 바람직하게는 강하게 냉각한다. 이때 초고진공 조건으로 충분하다. 단계(Ph2)에서의 강한 냉각은 강철이 가열되고 이로 인해 특히 단계(Ph2) 중에 강철에서 탄소 함유성 가스가 방출하는 것을 방지한다.
프로세스 챔버벽(113)의 재료, 특히 공정에 노출되는 프로세스 챔버벽의 표면 재료와 관련해 도1을 통해 이미 설명한 사항이 적용된다. 불활성 재료, 바람직하게는 이미 설명한 재료 그룹(G)의 유전체는 공정 온도보다 현저히 높은 온도에서도 흡착되어야 하는 사용된 반응성 가스(R1)에 대해 안정적이며, 경우에 따라서 단계(Ph2)에서 플라즈마 방전 대기 중으로 제공되는 수소, 실란, 게르만, 디보란, 염소, NF3, HCl, SiH3CH3, GeH3CH3, N2, ClF3, PH3, AsH4와 같은 제2 반응성 가스(R2)에 대해서도 안정적이다. 이로써 기판(120)의 오염이 발생하지 않는다. 프로세스 챔버벽(113)의 내부 표면의 간섭 코팅(interference coating)은 입자 형성의 측면에서만 위험 요소로 간주된다. 가스 흡착 및 후속 플라즈마 처리를 통해 형성되는 얇은 간섭 코팅은, 실질적으로 공정의 고유 재료로만 둘러싸인 공정의 개선된 청결도를 보장하기 위해 바람직한 것일 수도 있다.
유형 I의 프로세스 모듈에서는, 진공 챔버벽이 일반적으로 스테인레스 강철로 코팅되지 않는데, 그 이유는 상기 진공 챔버벽이 프로세스 챔버벽(113)을 통해 반응성 가스 및 플라즈마로부터 보호되며, 도5에 도시된 바와 같이 강한 냉각으로 인해 진공 챔버벽에서 가스상으로부터의 방출이 추가적으로 강하게 감소하기 때문이다. 프로세스 챔버벽(113)의 내측 표면과 관련해 적용되는 사항은 고정에서 노출되는 기판 홀더(119)의 표면에도 동일하게 적용된다.
(도면에는 도시되지 않았지만) 바람직하게는 프로세스 챔버벽(113)은 멀티피스(multi-piece)로 형성되므로, 이 프로세스 챔버벽은 양극(117a, 117b)을 분해하지 않고도 제거하거나 또는 교체할 수 있다.
도5에 도시한 프로세스 챔버벽(113)을 제거함으로써 유형 II의 프로세스 모듈의 바람직한 실시 형태를 실현하거나 또는 금속 재질의 성형된 외벽으로 프로세스 챔버벽(113)을 대체함으로서 도3에 따른 유형 II의 프로세스 모듈을 실현할 수 있다.
바람직하게는 도5에 따라 형성된 유형 I의 프로세스 모듈에서 본 발명에 따른 제조 방법의 단계(Ph1, Ph2)가 실시되며, 이와는 달리 유형 II의 프로세스 모듈에서는 바람직하게는 저에너지 수소 플라즈마에서 단계(Ph1) 전 및/또는 단계(Ph2) 후에 표면 컨디셔닝 또는 세척 공정이 실시된다.
도7 및 도8에는 본 발명에 따른 제조 방법의 가능한 두 가지 실현 형태가 진행도로서 도시된다. 도7에서는 예를 들어 이형적층형으로(heteroepitaxial) 성장하는 층을 형성하기 위해 서로 상이한 복수의 원자 단일층을 적층으로 배열한다. 우선 기판은 바람직하게는 유형 II의 모듈에 따라 형성된 세척 모듈(R)에 제공되며, 바람직하게는 이 세척 모듈에서 전술한 저에너지 수소 플라즈마로 표면 세척이 이루어진다. 해당 기판은 세척 모듈(R)에서 세척 공정을 거친 후에 유형 I의 프로세스 모듈로 제공되며, 이 모듈에서는 제1 반응성 가스의 흡착을 포함하는 공정 단계(Ph11)가 진행된다. 그 다음 단계(Ph2)를 실시하기 위해 기판은 바람직하게는 유형 I의 프로세스 모듈에 제공되며, 이 공정에서는 이미 설명한 바와 같이 불활성 가스 및/또는 반응성 가스와 같은 가스가 주입되며 저에너지 플라즈마 방전이 이루어진다. 단계(Ph2)의 실시 후에 기판은 유형 I의 다른 프로세스 모듈에 제공되며, 흡착되어야 하는 다른 반응성 가스 또는 반응성 가스 혼합물이 공정 단계(Ph12)로 주입된다. 그 다음 유형 I의 중앙 프로세스 모듈에서는, 이후에 유형 I의 다른 프로세스 모듈에 제공하기 위해, 공정 단계(Ph2)가 실시되며, 이후의 공정 단계(Ph13)에서는 기판이 흡착되어야 하는 다른 반응성 가스 혼합물 또는 반응성 가스에 노출된다.
이렇게 함으로써 적층 구조의 층이 성장하며 이형적층형의 층이 형성된다. 점선으로 도시한 바와 같이, 기판이 공정 단계(Ph2)와 예를 들어 공정 단계(Ph11) 사이를 과정을 반복하는 경우에는, 적층형 성장에서 동형적층형(homoepitaxial)의 층이 성장한다.
도7에 따른 본 발명의 방법의 실시와는 달리, 도8에 따른 본 발명의 방법의 실시에서는, 바람직하게는 원자 단일층을 증착한 후에 매번 기판 표면의 세척 과정이 진행된다. 또한 바람직하게는 세척 단계(R)는, 기판 표면에서 실시하는 세척 단계와 항상 동일할 수 있으며, 이런 세척 단계는 기판 표면이 제1 공정 단계(Ph11)에따른 제1 흡착 공정을 투입되기 전에 실시한다. 따라서 원자 단일층을 증착한 후에도 저에너지 플라즈마, 바람직하게는 저에너지 수소 플라즈마에서 기판의 표면 세척을 실시한다. 이제 하나의 기판에 대해 관찰해보자. 프로세스(R)에서 세척 후 기판이 유형 I의 제1 프로세스 모듈에 제공되며, 여기에서 제1 반응성 가스 또는 반응성 가스 혼합물에 흡착된다(Ph11). 그 다음 기판은 유형 I의 다른 프로세스 모듈에 제공되며, 이 모듈에서는 경우에 따라 플라즈마 활성의 제2 반응성 가스의 라디칼 및 이온, 바람직하게는 수소 및/또는 질소 및/또는 산소의 첨가 하에서 공정 단계(Ph2), 즉 플라즈마 처리가 이루어진다.
상기 공정 단계(Ph2)의 종료 후에 기판은 바람직하게는 유형 II의 모듈에서 다시 세척 단계(R)에 도입되며, 이후에 유형 I의 다른 모듈에 도입된다. 상기 모듈에서는 기판이 단계(Ph12)에서 다른 반응성 가스 또는 반응성 가스 혼합물을 흡착한다. 그 다음 기판은 다시 공정 단계(Ph2)를 거쳐 세척 단계(R)도 도입된다. 즉 공정 단계(Ph1x)를 실시하는 모듈에서 시작하여, 단계(Ph2)를 실시하는 모듈을 거쳐 세척 모듈로의 이송이 이루어진다는 것을 알 수 있다. 기판은 상기 세척 모듈에서 각각 단계(Ph1x)를 실시하는 프로세스 모듈로 도입된다.
바람직하게는 이런 또는 이와 유사한 비교적 복잡한 공정 진행 단계가 바람직하게는 자유롭게 프로그램이 가능한 진공 처리 시설에서 실시되며, 상기 진공 처리 시설에서는 중앙 이송 모듈이 해당 프로세스 모듈 및 컨디셔닝 모듈 또는 세척모듈을 이송한다.
이러한 시설은 단지 예로서 도식적으로 도9에 도시되어 있으며, 유형 II의 두 개의 세척 모듈(R), 공정 단계(Ph2)의 실시를 위한 유형 I의 두 개의 모듈 및 공정 단계(Ph12, Ph11)의 실시를 위한 유형 I의 두 개의 모듈을 포함한다.

Claims (49)

  1. a) 적어도 하나의 기판을 진공상태의 챔버에 공급하며;
    b) 흡착되어야 하는 기판의 표면을 이 표면에 흡착되는 반응성 가스에 노출시키고;
    c) 반응성 가스를 통한 표면의 노출 과정을 종료하며;
    d) 상기 기판 표면에 흡착된 반응성 가스를 반응시키는 것으로 이루어지는 재료 처리 기판의 제조방법에 있어서,
    d1) 상기 기판 표면에서의 이온 에너지(EIO)가 다음과 같고
    0 〈 EIO≤ 20eV
    전자 에너지(Eeo)가 다음과 같은
    0eV 〈 Eeo≤ 100eV
    저에너지 플라즈마 방전에 상기 반응성 가스가 흡착된 상기 표면을 노출시키며;
    d2) 상기 흡착된 반응성 가스를 적어도 플라즈마에 의해 발생된 이온 및 전자의 영향 하에서 반응시키는 것을 특징으로 하는 기판의 제조방법.
  2. 제1항에 있어서, 기판의 표면에서 다음과 같은 이온 에너지(EIO)로 플라즈마방전을 실현하는 것을 특징으로 하는 기판의 제조방법.
    0eV 〈 EIO≤ 15eV
  3. 제1항 또는 제2항 중 어느 한 항에 있어서, 흡착된 반응성 가스가 반응성 가스 혼합물인 것을 특징으로 하는 기판의 제조방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 플라즈마 방전을 불활성 가스 분위기에서 실시하는 것을 특징으로 하는 기판의 제조방법.
  5. 제4항에 있어서, 상기 플라즈마 방전을 아르곤 대기에서 실시하는 것을 특징으로 하는 기판의 제조방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 다른 반응성 가스 또는 반응성 가스 혼합물을 포함하는 분위기에서 플라즈마 방전을 발생시키는 것을 특징으로 하는 기판의 제조방법.
  7. 제6항에 있어서, 상기 다른 반응성 가스 또는 반응성 가스 혼합물이 수소, 질소 및 산소 중 하나를 포함하는 것을 특징으로 하는 기판의 제조방법.
  8. 제6항에 있어서, 상기 다른 반응성 가스 또는 반응성 가스 혼합물이 수소를 포함하거나, 바람직하게는 수소인 것을 특징으로 하는 기판의 제조방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 진공 챔버를 다음과 같은 압력(pv)으로 배기하는 것을 특징으로 하는 기판의 제조방법.
    10-11mbar ≤pv≤ 10-8mbar
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 흡착되어야 하는 반응성 가스를 다음과 같은 분압(pp)으로 주입하는 것을 특징으로 하는 기판의 제조방법.
    10-4mbar ≤pp≤1mbar
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 표면의 냉각 및 가열을 통해 표면에서의 가스 흡착률을 조절하는 것을 특징으로 하는 기판의 제조방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 기판을 배기된 진공 챔버에서 배기된 다른 진공 챔버로 전달함으로써 노축 과정을 종료하는 것을 특징으로 하는 기판의 제조방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, 잔류하는 흡착 반응성 가스를 배기된 진공 챔버에서 펌핑함으로써 표면의 노출 과정을 종료하는 것을 특징으로 하는 기판의 제조방법.
  14. 제13항에 있어서, 다음과 같은 압력(pv')에 도달할 때까지 반응성 가스를 펌핑하는 것을 특징으로 하는 기판의 제조방법.
    10-11mbar ≤ pv' ≤ 10-8mbar
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 적어도 사전에 설정한 최소 기간 중에 기판을 플라즈마에 노출시키는 것을 특징으로 하는 기판의 제조방법.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서, 적어도 단계 b) 내지 d2)까지의 공정을 적어도 2회 진행하는 것을 특징으로 하는 기판의 제조방법.
  17. 제1항 내지 제16항 중 어느 한 항에 있어서, 적어도 하나의 단계 d2)를 실시하기 위해 다른 재료를 기판 상에 증착하는 것을 특징으로 하는 기판의 제조방법.
  18. 제17항에 있어서, 진공 증착, 습식화학 공정 또는 전기도금을 통해 다른 재료를 증착하는 것을 특징으로 하는 기판의 제조방법.
  19. 제1항 내지 제18항 중 어느 한 항에 있어서, 상기 표면을 흡착되어야 하는 반응성 가스에 노출하기 전에, 전술한 표면에서 다음과 같은 이온 에너지(EI1) 및 전자 에너지(Ee1)를 갖는, 저에너지 불활성 플라즈마, 바람직하게는 아르곤 플라즈마에 상기 표면을 노출시키는 것을 특징으로 하는 기판의 제조방법.
    0eV 〈 EI1≤ 20eV
    바람직하게는,
    0eV 〈 EI1≤ 15eV,
    0eV 〈 Ee1≤ 100eV.
  20. 제1항 내지 제19항 중 어느 한 항에 있어서, 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물에 상기 표면을 노출시키기 전에, 다른 반응성 가스를 포함하는 분위기에서 상기 표면을 저에너지 플라즈마에 노출시키며, 기판 표면에서의 이온 에너지(EI2) 및 전자 에너지(Ee2)가 다음과 같은 것을 특징으로 하는 기판의 제조방법.
    0eV 〈 EI2≤ 20eV
    바람직하게는,
    0eV 〈 EI2≤ 15eV,
    0eV 〈 Ee2≤ 100eV.
  21. 제20항에 있어서, 상기 다른 반응성 가스가 수소, 질소, 산소 중 하나인 것을 특징으로 하는 기판의 제조방법.
  22. 제20항에 있어서, 상기 다른 반응성 가스가 수소를 포함하며, 바람직하게는 수소인 것을 특징으로 하는 기판의 제조방법.
  23. 제1항 내지 제 22항 중 어느 한 항에 있어서, 흡착된 반응성 가스의 반응 후에, 표면에서 다음과 같은 이온 에너지(EI3) 및 전자 에너지(Ee3)를 갖는 저에너지 불활성 가스 플라즈마, 바람직하게는 아르곤 플라즈마에 상기 표면을 노출시키는 것을 특징으로 하는 기판의 제조방법.
    0eV 〈 EI3≤ 20eV
    바람직하게는,
    0eV 〈 EI3≤ 15eV,
    0eV 〈 Ee3≤ 100eV.
  24. 제1항 내지 제23항 중 어느 한 항에 있어서, 흡착된 반응성 가스의 반응 후에, 다른 반응성 가스를 포함하는 분위기에서 상기 표면이, 기판 표면에서 다음과 같은 이온 에너지(EI4) 및 전자 에너지(Ee4)를 갖는 저에너지 플라즈마 방전에 노출되는 것을 특징으로 하는 기판의 제조방법.
    0eV 〈 EI4≤ 20eV
    바람직하게는,
    0eV 〈 EI4≤ 15eV,
    0eV 〈 Ee4≤ 100eV.
  25. 제24항에 있어서, 상기 다른 반응성 가스가 수소, 질소, 산소 중 하나를 포함하는 것을 특징으로 하는 기판의 제조방법.
  26. 제24항에 있어서, 상기 다른 반응성 가스가 수소를 포함하며, 바람직하게는 수소인 것을 특징으로 하는 기판의 제조방법.
  27. 제1항 내지 제26항 중 어느 한 항에 있어서, 알루미늄, 티타늄, 구리, 텅스텐, 탄탈과 같은 금속 및/또는 규소, 게르마늄, 티타늄, 탄탈, 하프늄, 지르코늄, 알루미늄, 니오븀, 텅스텐의 산화물 또는 질소화물 또는 질소산화물 중 적어도 하나를 통해 표면 처리를 하는 것을 특징으로 하는 기판의 제조방법.
  28. 제27항에 있어서, 산화규소, 산화탄탈, 산화지르콘, 질화티탄, 질화탄탈, 질화텅스텐(TaSi)xNy와 같은 재료 중 적어도 하나를 통해 표면 처리를 하는 것을 특징으로 하는 기판의 제조방법.
  29. 제1항 내지 제26항 중 어느 한 항에 있어서, 모든 공정 단계를 하나의 진공 챔버에서 실시하는 것을 특징으로 하는 기판의 제조방법.
  30. 제1항 내지 제26항 중 어느 한 항에 있어서, 상기 공정 단계를 적어도 두 개의 진공 챔버에서 실시하는 것을 특징으로 하는 기판의 제조방법.
  31. 제1항 내지 제30항 중 어느 한 항에 있어서, 기판의 표면을 감싸는 공정 대기가 공정 단계 b) 및 c) 및/또는 d) 내지 d2) 중 적어도 하나의 단계에서 둘레에 존재하는 진공 챔버의 내벽에 의해 분리되는 것을 특징으로 하는 기판의 제조방법.
  32. 제1항 내지 제31항 중 어느 한 항에 있어서, 처리되어야 하는 표면이 이미처리된 또는 코팅된 기판의 표면을 포함하는 것을 특징으로 하는 기판의 제조방법.
  33. 제1항 내지 제32항 중 어느 한 항에 있어서, 흡착 단계 전 및/또는 흡착된 반응성 가스 또는 반응성 가스 혼합물의 반응 후에 플라즈마를 이용하는 세척 단계에 기판 표면을 노출시키며, 상기 세척 단계에서는 바람직하게는 적어도 수소를 포함하는, 세척 프로세스 챔버로 주입한 반응성 가스 또는 반응성 가스 혼합물이, 기판 표면에서 다음과 같은 이온 에너지(Er) 및 전자 에너지(Eer)를 갖는 저에너지 플라즈마를 통해 활성화되는 것을 특징으로 하는 기판의 제조방법.
    0eV 〈 Er≤ 20eV
    바람직하게는,
    0eV 〈 Er≤ 15eV,
    0eV 〈 Eer≤ 100eV.
  34. 제33항에 있어서, 적어도 하나의 세척 공정 중에 세척 공정 대기가 금속 커버를 통해, 둘레에 존재하는 세척 진공 챔버로부터 분리되거나 또는 바람직하게는 상기 공정 대기가 둘레에 존재하는 세척 진공 챔버의 내측벽을 통해 직접 제한되는 것을 특징으로 하는 기판의 제조방법.
  35. 제1항 내지 제34항 중 어느 한 항에 있어서, 공정 단계 a) 내지 d2)까지의 공정을 단 1회 실시함으로써 표면에 하나의 원자 단일층을 증착하는 것을 특징으로 하는 기판의 제조방법.
  36. 제1항 내지 제35항 중 어느 한 항에 있어서, 공정 단계 a) 내지 d2)까지의 공정을 반복적으로 실시함으로써 적층형의 층이 성장하며, 반응성 가스를 교체하는 경우에는 이형적층형 층을 형성하고, 반응성 가스를 교체하지 않는 경우에는 동형적층형 층을 형성하는 것을 특징으로 하는 기판의 제조방법.
  37. 제1항 내지 제36항 중 어느 한 항에 있어서, 사전에 설정한 횟수만큼 단계 b) 내지 d2)까지의 공정 단계를 실시한 후에 복수의 기판에서 순차적으로 진공 챔버의 프로세스 챔버가, 기판이 투입되지 않은 상태에서 플라즈마를 이용하는 프로세스 챔버 세척 단계를 거치거나 또는 기판 모형을 투입한 상태에서 바람직하게는 식각 단계를 포함하는 프로세스 챔버 세척 단계를 거치고, 그 다음에 바람직하게는 수소, 불활성 가스 또는 이런 가스의 혼합물을 포함하는 플라즈마에서 세척 단계를 실시하는 것을 특징으로 하는 기판의 제조방법.
  38. 제1항 내지 제37항 중 어느 한 항에 있어서, 단계 a) 전 및/또는 단계 d2)후에 진공 챔버와 분리된 구간에서 기판 세척 단계를 실시하며, 진공 챔버와 진공의 세척 챔버 사이에서 기판을 이송하는 것을 특징으로 하는 기판의 제조방법.
  39. 제38에 있어서, 상기 이송은 적어도 일부 구간에서 직선으로 실현되며, 바람직하게는 이런 이송이 전술한 진공 챔버로 직선 안내 동작이 이루어지는 궤도 또는 바람직하게는 방사상으로 동작하는 부재를 포함하는 궤도를 따라 실현되는 것을 특징으로 하는 기판의 제조방법.
  40. 제1항 내지 제39항 중 어느 한 항에 있어서, 단계 b) 내지 d)까지의 공정 중에 표면에 노출되는 공정 대기가, 새로운 상태에서는 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물 및/또는 플라즈마 활성의 제2 반응성 가스 또는 반응성 가스 혼합물에 대해 화학적으로 불활성인 표면, 바람직하게는 유전성 또는 흑연 표면을 통해, 주위에 존재하는 진공 챔버의 내벽과 분리되는 것을 특징으로 하는 기판의 제조방법.
  41. 제40항에 있어서, 상기 불활성 표면이, 거의 대부분의 구간에서 진공 챔버의 내벽으로부터 이격된, 분리벽의 표면인 것을 특징으로 하는 기판의 제조방법.
  42. 제40항 또는 제41항 중 어느 한 항에 있어서, 분리를 위한 벽은 새로운 상태에서 석영, 흑연, 탄화규소, 질화규소, 산화알미늄, 산화티탄, 산화탄탈, 산화니오브, 산화지르콘 또는 이런 재료의 적층된 조합, 다이아몬드와 유사한 탄소 또는 다이아몬드와 같은 재료들 중 적어도 하나로 실현되는 것을 특징으로 하는 기판의 제조방법.
  43. 제1항 내지 제42항 중 어느 한 항에 있어서, 전자 에너지가 50eV 이하인 전자 발생원, 바람직하게는 DC-방전을 통해 플라즈마 방전을 실현하는 것을 특징으로 하는 기판의 제조방법.
  44. 제1항 내지 제43항 중 어느 한 항에 있어서, 상기 플라즈마 방전은 열이온 음극, 바람직하게는 직접 가열형 열이온 음극을 통해 실현하는 것을 특징으로 하는 기판의 제조방법.
  45. 제1항 내지 제44항 중 어느 한 항에 있어서, 진공 챔버의 프로세스 챔버에서 상기 플라즈마 방전을 위해 바람직하게는 엇갈리게 배치되며 개별적으로 가열이 가능한 적어도 두 개의 양극을 사용하며, 이 양극은 개별적으로 전기적 작동이 가능하고, 상기 양극에 가하는 전위 및/또는 양극 온도를 제어함으로써, 표면에서의 플라즈마 밀도 분포를 정역학적 또는 동역학적으로 조절 또는 제어하는 것을 특징으로 하는 기판의 제조방법.
  46. 제1항 내지 제45항 중 어느 한 항에 있어서, 단계 d) 중에 프로세스 챔버에서 자기장이 발생하며, 이 자기장을 이용해 표면에서의 플라즈마 밀도 분포를 정역학적 및/또는 동역학적으로 조절 또는 제어하고, 바람직하게는 적어도 국부적으로 이동시키는 것을 특징으로 하는 기판의 제조방법.
  47. 제1항 내지 제46항 중 어느 한 항에 있어서, 흡착되어야 하는 반응성 가스 또는 반응성 가스 혼합물이, 바람직하게는 기판 표면에 대해 거의 평행하게, 더욱 바람직하게는 기판 표면으로부터 동일한 간격을 갖는 주입구를 통해 공정 대기로 주입되는 것을 특징으로 하는 기판의 제조방법.
  48. 제1항 내지 제47항 중 어느 한 항에 있어서, 상기 기판이 규소 층에 형성된 채널을 포함하는 산화규소로 코팅한 기판이며, 단계 d2)를 n-회 반복한 후에 상기 채널에 구리를 증착하고, n은 1보다 큰 것을 특징으로 하는 기판의 제조방법.
  49. 이완된 버퍼(buffer)의 제조를 위한 제1항 내지 제48항 중 어느 한 항에 따른 기판의 제조방법의 용도.
KR1020047000879A 2001-07-27 2002-05-15 코팅 기판의 제조 방법 KR100963229B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CH14162001 2001-07-27
CH1416/01 2001-07-27
PCT/CH2002/000268 WO2003012164A1 (de) 2001-07-27 2002-05-15 Verfahren zur herstellung beschichteter substrate

Publications (2)

Publication Number Publication Date
KR20040030823A true KR20040030823A (ko) 2004-04-09
KR100963229B1 KR100963229B1 (ko) 2010-06-10

Family

ID=4565459

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047000879A KR100963229B1 (ko) 2001-07-27 2002-05-15 코팅 기판의 제조 방법

Country Status (9)

Country Link
US (2) US6835414B2 (ko)
EP (1) EP1412552B1 (ko)
JP (1) JP4601954B2 (ko)
KR (1) KR100963229B1 (ko)
CN (1) CN1262684C (ko)
AT (1) ATE285485T1 (ko)
DE (1) DE50201853D1 (ko)
TW (1) TW562882B (ko)
WO (1) WO2003012164A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106796881A (zh) * 2014-10-09 2017-05-31 东京毅力科创株式会社 蚀刻方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6835414B2 (en) * 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
JP2005292356A (ja) * 2004-03-31 2005-10-20 Brother Ind Ltd 画像形成装置
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US8974868B2 (en) * 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
TWI402098B (zh) * 2005-06-22 2013-07-21 Advanced Tech Materials 整合式氣體混合用之裝置及方法
JP5591470B2 (ja) 2005-08-30 2014-09-17 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド 代替フッ化ホウ素前駆体を使用するホウ素イオン注入および注入のための大きな水素化ホウ素の形成
JP2007211326A (ja) * 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
KR101755970B1 (ko) 2008-02-11 2017-07-07 엔테그리스, 아이엔씨. 이온 공급원 챔버를 포함하는 이온 주입 시스템의 성능 향상 및 수명 연장 방법
EP2166128B1 (de) * 2008-09-19 2011-11-09 Oerlikon Trading AG, Trübbach Verfahren zum Herstellen von Metalloxidschichten durch Funkenverdampfung
US8008632B2 (en) 2008-07-24 2011-08-30 Seagate Technology Llc Two-zone ion beam carbon deposition
US8658258B2 (en) * 2008-10-21 2014-02-25 Aculon, Inc. Plasma treatment of substrates prior to the formation a self-assembled monolayer
FR2944577B1 (fr) * 2009-04-15 2013-09-20 New Generation Natural Gas Isolation, sous atmosphere d'argon, de reservoirs de gaz liquefies a double paroi
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
CN108565198A (zh) 2012-02-14 2018-09-21 恩特格里斯公司 用于改善注入束和源寿命性能的碳掺杂剂气体和协流
US20130239889A1 (en) * 2012-03-14 2013-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Valve purge assembly for semiconductor manufacturing tools
WO2014103728A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 成膜装置
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给***
CN104972189B (zh) * 2015-07-30 2017-01-25 哈尔滨工业大学 一种同质外延生长单晶金刚石的籽晶衬底真空钎焊方法
CN106435519A (zh) * 2016-09-18 2017-02-22 北京工业大学 一种提高cvd法在长管内壁制备钨涂层均匀性的方法
ES2906902T3 (es) * 2017-08-02 2022-04-20 Oerlikon Surface Solutions Ag Pfaeffikon Dispositivo de revestimiento para realizar un revestimiento a baja temperatura con alta eficacia

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS6052574A (ja) * 1983-09-02 1985-03-25 Hitachi Ltd 連続スパツタ装置
JPH03290926A (ja) * 1990-04-06 1991-12-20 Sumitomo Metal Ind Ltd プラズマ装置及び該装置の使用方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
JPH0480352A (ja) * 1990-07-19 1992-03-13 Sony Corp スパッタ装置
JP3296368B2 (ja) * 1992-08-12 2002-06-24 神港精機株式会社 プラズマcvd装置
JPH0794425A (ja) * 1993-09-24 1995-04-07 Toshiba Corp 金属薄膜の形成方法および金属薄膜の形成装置
JP3595819B2 (ja) * 1994-06-27 2004-12-02 独立行政法人理化学研究所 プラズマcvd方法及び装置
US5656238A (en) * 1994-10-11 1997-08-12 Johnson & Johnson Medical, Inc. Plasma-enhanced vacuum drying
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
KR100252049B1 (ko) * 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6835414B2 (en) * 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106796881A (zh) * 2014-10-09 2017-05-31 东京毅力科创株式会社 蚀刻方法
US10312079B2 (en) 2014-10-09 2019-06-04 Tokyo Electron Limited Etching method

Also Published As

Publication number Publication date
EP1412552B1 (de) 2004-12-22
JP2004536970A (ja) 2004-12-09
US20040214437A1 (en) 2004-10-28
WO2003012164A1 (de) 2003-02-13
JP4601954B2 (ja) 2010-12-22
DE50201853D1 (de) 2005-01-27
US20030021895A1 (en) 2003-01-30
ATE285485T1 (de) 2005-01-15
CN1535330A (zh) 2004-10-06
US7153363B2 (en) 2006-12-26
CN1262684C (zh) 2006-07-05
US6835414B2 (en) 2004-12-28
TW562882B (en) 2003-11-21
KR100963229B1 (ko) 2010-06-10
EP1412552A1 (de) 2004-04-28

Similar Documents

Publication Publication Date Title
KR100963229B1 (ko) 코팅 기판의 제조 방법
KR100943695B1 (ko) 원자층 증착 반응기
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
EP0253361B1 (en) Thin film forming device
US6921555B2 (en) Method and system for sequential processing in a two-compartment chamber
EP0797688B1 (en) Method for deposition of diamondlike carbon films
EP1672093B1 (en) Film-forming apparatus and film-forming method
KR100684910B1 (ko) 플라즈마 처리 장치 및 그의 클리닝 방법
US20060276037A1 (en) Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
EP1733069A1 (en) Method and apparatus for forming a metal layer
WO2004077515A2 (en) Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP7002655B2 (ja) 低周波バイアスを利用した誘電体膜の形状選択的な堆積
JP2016076621A (ja) 被処理体を処理する方法
US20060118043A1 (en) Method for producing coated workpieces, uses and installation for the method
JP2023534828A (ja) 分子層堆積方法及びシステム
TW573050B (en) Method to manufacture parts and vacuum-processing system
US6858085B1 (en) Two-compartment chamber for sequential processing
TW201801232A (zh) 具有用於將碳污染物與表面氧化物自半導體基板去除的處理腔室之真空平臺
US20220157569A1 (en) Plasma Source With Ceramic Electrode Plate
CN110581050A (zh) 处理方法和等离子体处理装置
MXPA97004312A (en) Method for the deposition of diamond type carbon films
JPH06140189A (ja) 有磁場プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130522

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140521

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150518

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee