TW573050B - Method to manufacture parts and vacuum-processing system - Google Patents

Method to manufacture parts and vacuum-processing system Download PDF

Info

Publication number
TW573050B
TW573050B TW91103124A TW91103124A TW573050B TW 573050 B TW573050 B TW 573050B TW 91103124 A TW91103124 A TW 91103124A TW 91103124 A TW91103124 A TW 91103124A TW 573050 B TW573050 B TW 573050B
Authority
TW
Taiwan
Prior art keywords
plasma
scope
patent application
vacuum
manufacturing
Prior art date
Application number
TW91103124A
Other languages
Chinese (zh)
Inventor
Rudolf Wagner
Siegfried Wiltsche
Juergen Ramm
Original Assignee
Unaxis Balzers Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis Balzers Ag filed Critical Unaxis Balzers Ag
Application granted granted Critical
Publication of TW573050B publication Critical patent/TW573050B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Description

573050 五、發明說明(1 ) 本發明涉及一種申請專利範圍第1項前言之電子元件 ’光電元件’光學或微機械元件或其藉由使用至少一種 電漿促進之處理步驟所形成之中間產品之製造方法,其 中在程序室中所引入之反應氣體或氣體混合物藉由低能 量之電漿放電(離子能量是E)而在此元件之表面上活性 化(activated),其中 〇eV< Eg 15eV。 本發明亦涉及申請專利範圍第2 8項前言之以矽-鍺 爲主之實際基板及其上之元件之製造方法,其包含至少 一種淨化步驟。本發明另又包含申請專利範圍第29或 3 〇項前言之真空處理系統。本發明基本上涉及一些元件 之製造方法,這些元件之需求是與各元件上塗佈一種磊 晶層時相同。 由同一申請人之WO/5 8099中已知上述形式之方法及 系統。該文件描述一種電漿促進之處理步驟,其中在程 序室中所引入之反應氣體或氣體混合物藉由離子能量E 之離子來進行之低能量電漿放電而在該元件之表面上活 化性(activated),其中0eV<ES15eV,其中詳細描述一 種工件上塗佈磊晶層。藉由低能量電漿放電所產生之電 漿是由電子,一次或多次充電之離子及中性粒子(原子, 已解離之分子)以及已激發但未游離之中性粒子所組成。 此處所描述之電漿之特徵是單一游離化之離子之能量範 圍 OeV < E g 1 5eV。 是所謂濺鍍臨限(sputter threshold)値,由此値開 始各離子在基板上之作用可使基板受損。電子本身最多 573050 五、 發明說明 ( 2〕 只 有 1 )0ί ιΜ 之 能 量 适 只 可使基板加熱。 另一已爲人所 知 者 是 ·· 特 別 是 在 巨 _、,/一 刖 較 佳之DC低電壓 -電漿產生配 置 中 單 一 電 荷 之 離 子 之 上述能量範圍使 電漿中所存在 之 中 性粒 子 及 已 激 發 之 中 性粒子之能量範 圍有一上限。 其 原 因 是 ·· 中 性 粒 子 藉 由 與離子之碰撞而 獲得其主要之 能 量 〇 在 WO9 8/5 8099 中 同 樣 描述上述塗層所 需之真空處理 系 統 其 包含 ·· 真 空 室 真空室中所包含 之工件載體, 真 空 室 中 產 生 電 漿 用 之 電 漿產生配置以及 真空室中一與 氣 pm 體 槽 (tank)配置(其含有 至少一種反應氣 體)相連之氣體 導 入 配 置 〇 電 漿 產 生配 置 是一種低電壓- 電漿產生配置 : —* 種 陰 極 室 經 由 光 圈 (Blende)而與程序室相連。在陰 極 室 中 安 裝 —* 種 熱 陰 極 J 在程序室中安裝 一種陽極配置 〇 工 件 載 體 (空間中定向成向下)以電性隔i 離方式而配置 者 〇 低 電 壓 — 電 漿 產 生 配 置 之原理相對於先 前之其它電漿 產 生 方 法(例如, 微波電漿)而言優良很多 ’這是因爲其 以 較 佳 之 方 式 滿 足 上 述 之 能量特性· 本 發 明 —* 方 面 是 由 上 述 形式之方法及系 統開始,另一 方 面 是 依 據 本 發 明 來 實 現 WO98/5 8099 中 之方法,依據 本 發 明 同 時 可符合其 它 準 則,這以下將再 詳述。 本 發 明 之 目 的 因 此 是 提 供上述形式之方 法及系統,其 工 業 上 之 適 用 性 就 經 濟 上 之準則而言可提 局很多,特別 是 較 長 之 壽 命 及 較 大 之 物 料流通量。 在 所 需 求 之 局 的 壽 口 P 期 間,須確保遵循 -4- 上述方法時所 573050 五、發明說明(3 ) 需之高的系統純淨度。此外,此系統中及自動化之製程 中都應使此方法之各步驟達成最佳之可整合性。 上述目的在本發明之方法中以下述方式達成:在電漿 促進之處理步驟期間程序氣體須與位於周圍之真空承受 器相隔開。基本上須了解:各結構之功能上之隔開一方 面須確保所需之真空技術上之壓力比(相對於環境壓力而 言),另一方面是各結構須直接受到該處理程序,其可達 成上述目的。 依據WO98/58099,真空室之內面(其通常由不銹鋼或 InOx所製成)直接與程序氣體相鄰。在電漿促進之處理 步驟中,特別是工件或元件以低能量之電漿放電來進行 塗層時,真空室壁面被加熱,內面因此亦被加熱。由於 上述製程說明期間內面之吸收特性等各種不同之效應, 則只要在工業製程中使用時,此製程會造成處理步驟中 之程序氣體一種不可忍受之污染或形成一種不可忍受之 剩餘氣體分壓。 程序氣體中之剩餘氣體既不是來自電漿放電-操作氣 體(例如,氬),亦不是來自所導入之反應氣體或氣體混 合物,亦不是來自其氣體形式之反應產物。藉由本發明 之方法,則可藉由真空承受器壁面使製程所造成之影響 最小化。 本發明之方法依據座請專利範圍第2項以特別優良之 方式而用於(a)元件之塗層或(b)元件之材料成份之改變 直至一預設之入侵深度爲止,或(c)用來對元件之表面進 行蝕刻,特別是用來對其進行結構蝕刻。在上述之所有 573050 五、 發明說明 ( 4: 情 況 中 遵 守 製 程 上 之 各 條 件 是 絕 對 必 要 的 5 這 些 條 件 在 本 發 明 已 起 動 之 製 程 中 就 像 其 對 嘉 晶 層 之 生 長 —* 樣 都 是 需 要 的 〇 因 此 藉 由 本 發 明 中 上 述 (b)4 ί材料成份之改 變 則 可使 材料 植 入 至 預 定 之 巨 標 材料 中 〇 此 外 依 據 甲 請 專 利 範 圍 第 3 項 5 建 議 —* 種 淨 化 步 驟 作 爲 本 發 明 之 電 漿 促 進 之 處 理 步 驟 5 或 除 了 本 發 明 之 電 漿 促 進 之 處 理 步 驟 之 外 另 依 據 串 請 專 利 範 圍 第 4 項 來 進 行 另 —* 淨 化 步 驟 0 在 本 發 明 之 方 法 之 較 佳 之 實 施 形 式 中 ’ 依 據 串 請 專 利 範 圍 第 5 項 來 製 成 一 種 實 際 基 板 〇 半 導 體 晶 圓 稱 爲 實 際 基 板 其 具 有特殊 之 層 構 造 而 與 由 —* 般 之 單 晶 半 導 體 材 料 所 構 成 之 晶 圓 是 不 同 的 0 但其在功 能 上 同 樣 可 用 作 半 導 體 組 件 用 之 原 始 材料 0 半 導 體 材 料 ” A 例 如 5 晶 圓 形 式 之 單 晶 矽 )用 1作原始基 板 其 上 施 加 一 種 緩 衝 層 其 由 較 佳 是 可 連 續 改 變 之 半 導 體 成 份 ,及另- -半導體成份” B,, ,所 組 成 , 其 通 常 由 局 成份 ” A ,及低成份” B,, ,變 成 局 成份 ” E 1”及低成份” A, 5 , 這 稱 爲 階 層 式 緩 衝 層 〇 此 種 /愤 衝 層 之結 構 有 缺 陷 0 在 緩 衝 層 上 生 長 一 種 覆 蓋 層 > 其 成份 是 與 最 上 方 之 緩 衝 層 區 域 者 相 同 〇 覆 蓋 層 之 目 的 是 形成 — 種 並 缺 陷 且 Μ j\\\ 偏 移 之 混 晶 層 〇 上 述 二 個 成 份 (即, 基板, 緩衝層及覆蓋層)形丨 戎實 際 基 板 〇 如 此 行 之 專 家 所 知 者 施 加 其 它 之 中 間 層 是 可 能 的 〇 在 實 際 基 板 上 施 加 特 有 之 有 效 層 其 成 份 對 此 半 導 體 材料 之 可 達 成 之特性 是 需 要 的 〇 有 效 層 材料 可 使 用 種 半 導 6 - 體 混 合 而成 但亦 可 以 由 573050 五、發明說明(5) 一種純半導體材料(例如”B”)所構成。此層通常很薄,因 此其上不會產生偏移(offset),使此層中之應力(stress) 仍保持著(即,b a n d g a p e n g i n e e r i n g)。有效層之生長可 與實際基板之構成相組合,·但已預製完成之實際基板可 再設有此種有效層。 依據本發明申請專利範圍第5項,上述之基板受到一 種電漿促進之淨化過程,其與目前之淨化方法不同,目 前之實際基板之製程中使用濕式淨化方法。然後施加異 質(h e t e r 〇 )磊晶之緩衝層,若需要時,則亦施加上述之覆 蓋層。本發明中需要時亦施加可使用之有效層,或在施 加該緩衝層之後轉變爲覆蓋層,其使已製成之實際基板 可於稍後進行有效層之施加。 由此處可推論:在習知之實際基板用之製程(以下之 Μ B E (m ο 1 e c υ 1 a r beam epitaxy) j U Η V C V D (υ 11 r a li i g h v a c u u m C V D ),a L D ( a u 1 o m a ti c 1 a y e ]· d e p 〇 s i ti ο n )等等)中 ,在低能量之電漿中以電漿促進之淨化步驟來取代所使 用之濕式·化學淨化步驟亦可視爲具有創新性而在製程技 術上具有重大之優點。 申請專利範圍第8項即提出上述之製造方法。 就上述之X業上之製程而言,通常很需要使藉由上述 電漿促進之處理步驟,(b),所處理之各元件受到 一種淨化步驟,這樣例如可去除這些與周圍大氣有 表面污染物。 # ^ 此外’依據上述之電漿處理步驟(a),(b _ 、 y ,則淨 化步驟是需要的,例如,可去除由蝕刻所釋出之污染材 573050 五、 發明說明 ( 6; ) 料或 氣 體 〇 在 淨 化 過 程 之 實 施 形 式 中 可使 用 各種反 應 氣體(氫,氫 一 稀 有 氣 體 混 合丨 物〕 其可 影 響 程 序 氣體周丨 圍所用之材料。 依 據 串 三 e円 專 利 範 圍 第 4 項 此 種 淨化步 驟 中可對程序 氣 體 設 置 —^ 種 成 本 較 低 之 金 屬 封 罩 ,或淨 化 用之程序氣 體 直 接 以 位於 Isa 境 中 之 真 空 承 受 器 之內壁 爲 邊界。 就 各 元 件 之 上 述 之 處 理 步 驟 (a), (b),(〇而言,程序 氣 體 之 非 金 屬 式 之 邊 界特別 有 利 5 即,邊 界 上之材料對 所使 用 之 以 電 漿 活 化 之 反 應 氣 體 而 言是鈍 性 的。此外, 在 此 種 淨化 步 驟 中 須 確 保 : 再 次 處 理之元 件 之已淨化之 表 面 同 樣 不 會 受 影 響 就 像 此 種 處 理是在 施 加各嘉晶層 時 之 情 況 一 樣 〇 在 此 元 件 之 以 電 漿 化學方 式 來進行之淨 化 過 程 中 , 上 述 之 低能 量 電 漿 (其具有特定能量之離子) 用 在 此 元 件 之 表 面 上 〇 此 外 串 Ξ主 日円 專 利 範 圍 第 6 項 所 述 之方式 是 :在所觀看^ 之 程 序 室 中 > 依 據 時 間 上 之 順 序使所出現 之 各元件受到 上 述各 電 漿 促 進 之 處 理 步 驟 中 之 至 少一種 步 驟,且在進 行 一 預 定 數 巨 之 此 種 處 理 步 驟 之 後 在上述 所 觀看之程序 室 中 進 行 另 一 電 漿 促 進 之 處 理 步 驟 ,即, 程 序室淨化步 驟 5 此 時 不 須 將 元 件 導 入 程 序 室 中 ,亦不 需 使用虛擬 (Dummy) 基 板 〇 此: 種: 程』 序: 室: 淨‘ 化: 步丨 驟較佳: 是. 以至少二個 子 步 驟 來 進 行 : 首 先 進 行 蝕 刻 然 後使蝕 刻 殘餘物被淨 化 此 種 淨 化 m 程 較 佳 是 在 電 漿 中 進行, 電 漿中含有氫 , 稀 有 氣 體 或 其 混 合 物 0 在本 發 明 之 巨 的 中 > 特別 是 8- 就 較 長壽命 之 實現而言, 573050 五、發明說明(7) 在進行一預定數目之處理步驟之後,以電漿促進之方式 使所觀察之程序室被淨化。在程序室中通常依據(a)或(b) 或(c)對各元件進行加工或淨化,這是依據申請專利範圍 第3項或第4項來達成。但亦可在所觀察之唯一之程序 室中以一種已程式化之順序依序地進行塗層,蝕刻或改 變材料之成份,然後依據申請專利範圍第3項來對此元 件進行淨化。 本發明之程序氣體是由真空承受器壁相隔開,這樣可 使程序室或元件受到一種電漿化學方式之淨化,其是使 用多種反應氣體,真空室壁不會受到各反應氣體所侵蝕 。所觀察之程序室在一預定數目之元件處理步驟之後可 受到電漿促進之自我淨化且然後直接又用來進行各元件 之處理,這樣可使壽命大大地提高以便可連續地操作。 這可與該程序室依據WO98/58099來淨化時之情況相比較。 利用本發明之製程(其中對磊晶設有品質需求以及進行 ~種塗層),則不需濕式化學淨化步驟即可改變該元件之 材料成份,對此元件進行結構蝕刻或淨化,且在各處理 步驟之間進行此程序室之自我淨化,這只須藉由程序參 數之改變,特別是所導入之反應氣體之改變即可進行。 在本發明之製程中相同之方法亦可用來使各元件被淨化 ’其方式是使程序氣體及真空承受器之間之隔離狀況改 變或不須隔離。 依據申請專利範圍第7項,此元件局性地各別受到至 少二種上述電漿促進之處理步驟且其間之運送是在真空 中運行。依據申請專利範圍第8項,這較佳是以直線之 573050 五、發明說明(8) 方式由一處理步驟移動至另一處理步驟來進行,以直線 式設備來進行,或沿著圓形軌道以圓形設備來進行,其 稱爲”Cluster Apparatus”。在該設備中對圓形方式輸送 中成組(group)之各處理站進行程式化,情況需要時亦可 不必程式化,這與各元件或工件之圓形方式輸送有關。 在本發明申請專利範圍第9項之較佳之實施形式中, 程序氣體及真空承受器壁表面之間藉由程序室之邊界來 隔開,這是藉由新狀態時化學上對電漿驅動之反應氣體 或氣體混合物是鈍性之表面來達成,較佳是以介電質表 面或石墨表面來達成。 在操作時’特別是塗層(a ),材料成份之改變(b)或蝕 刻(〇,特別是結構蝕刻或淨化,則每次都在表面上沈積 一種材料。但此種材料在製程中不可受污染或只能有限 地受到污染。若在所觀察之同一程序室中對依序出現之 各元件進行相同之處理步驟,則期望在新狀態中使如上 所述之鈍性(較佳是介電質或石墨)隔離表面上塗佈上述 之反應產物-材料,當然這些材料只須夠寬,使所形成 之塗層可穩固地黏合在上述之表面上即可。 上述之鈍性(較佳是介電質)表面之設置是以下@ $ 達成:直接在真空承受器之內面上施加一種形成^純 表面所需之結構,這可藉由塗佈此種材料來達成%胃$妾 在真空承受器內壁上藉由自我承載用之各壁部;匕 種內部已翻轉之表面來達成。 在較佳之實施形式中,依據申請專利範圍第i 〇 ^, 鈍性表面至少沿著主要面積區而與真空承受器& Θ & -10- 573050 五、發明說明(9) 一種中間空間相隔開。此種方式就隔離壁結構之可更換 性(即,服務之親切性)及表面溫度之適當之預設而言具 有重大之優點。 依據申請專利範圍第1 1項,程序室及上述之中..間空 間可以相同或不同之方式進行泵抽(pumped)。此外,亦 可在中間空間中存入大氣,其可在真空承受器壁及上述 表面之間形成所期望之導熱特性。若在中間空間中導入 一種導熱量較大之氣體(例如,氦)及/或至少暫時使中 間空間中之壓力大於程序室中者,則中間空間中之導熱 性較程序室中者還高,這樣可使表面保持在所期望之溫 度。然後須注意··熱傳導在指定之真空壓力時隨著壓力 而減少且當然是與所含之相關氣體之熱容量有關。 在新狀態時表面用之較佳之材料設定在申請專利範圍 第1 2項中。須強調:若提及由鈍性(較佳是介電質)材料 所構成之表面,則這主要是專門針對面向程序室之表面 之表面材料而言。此種表面較佳是由隔離壁之表面所形 成。可對此表面進行塗層,使面對真空承受器之表面以 金屬形成,面對程序室或程序氣體的是鈍性之表面。依 據申請專利範圍第1 2項,表面由層結構所形成,這亦 可使用類似鑽石之材料或使用鑽石。 已爲人所知之事實是:在電漿化學方法中塗層速率隨 著溫度上升(及所施加之電漿強度)而上升。如上所述, 極希望使面向程序室之表面上施加該已受電漿活化之反 應氣體之對應於各別程序之反應產物。但必須很注意此 種已施加之塗層每次須防止其脫落。此種認知以下述方 -11- 573050 五、發明說明(1〇) 式來達成:藉由上述表面之溫度之控制,則在電漿促進 之處理步驟中可使上述表面之施加速率最小化。所選取 之干擾性塗層速率因此可較元件上之有效速率小很多, 只有在進行較大數目之元件處理之後才進行程序室之自 我淨化。此時在上述塗層之厚度相對於脫落部份而言已 達到一種危險値之前才去除上述之塗層。 元件上之有效速率是依據處理過程,塗層速率,侵入 率,蝕刻速率及淨化速率來決定。 本發明之目的是提供本方法或系統一種自動化程度。 依據申請專利範圍第1 3項,在上述表面中設置該元件 用之供應口,此供應口在元件處理時以該元件及/或該 元件用之承載體來封閉,至少使電荷載體不會由程序室 中漏出。 在另一較佳之實施例中,較佳是依據申請專利範圍第 1 4項使用低能量之電漿放電,其電子源之電子能量S 10 0eV,較佳是小於50ev,這特別是以DC放電來達成 ,依據申請專利範圍第1 5項,藉由熱離子化之陰極來直接 加熱。此元件之已處理之表面較佳是直接與電漿接觸。 依據申請專利範圍第1 6項,較佳是在程序室中設有 二個局部性偏移之陽極以進行電漿放電。這些陽極可分 別相隔開而加熱。藉由控制各陽極上所施加之電位及/ 或其溫度,則可動態地或靜態地調整(或控制)電漿密度 分佈。所謂靜態調整是指至少在處理步驟時保持靜態。 動態調整是指在處理步驟時上述各參數中至少一種參數 會改變,這是以振動式週期性或非週期性地對應於一預 -12- 573050 五、發明說明(11) 定之曲線形式來振盪,或以任意之線性-或非線性之斜 坡(ramp)函數在處理步驟中改變。特別是在最後一種方 式中,在處理步驟期間須考慮程序室中可改變之各種特 性且須進行補償,或在部份表面上使電漿密度隨時間達 成所期望之改變。 依據申請專利範圍第1 7項,在程序室中產生磁場’ 其就像上述之參數陽極電位及/或陽極溫度一樣是靜態 的或動態的,其控制此元件表面上電漿密度之分佈。藉 由磁場受控制之時間上之變化,則電漿密度分佈可沿著 元件表面而改變,特別是就像此元件週期性地在靜止分 佈之電漿中移動一樣。藉由磁場之此種振動及沿著靜止 元件之表面之電漿密度分佈之振盪式改變,則可達成相 同之效應,就像此元件以振盪或旋轉方式而移動一樣, 但特別是真空技術是有利的而不必有移動式元件。 依據申請專利範圍第1 8項,導入該反應氣體以分佈 在程序氣體中,較佳是以平行於元件表面之流入方向來 進行,更佳是與元件表面等距離之噴入位置來進行,則 此元件之表面可最佳化地暴露至電漿驅動之反應氣體, 且可最佳地使用已導入之新鮮之反應氣體,其以效率之 倒數來表示,即,每單位時間所導入之新鮮之反應氣體 對每單位時間所抽出之新鮮之反應氣體之比。 爲了藉由上述之處理步驟,特別是(a),(b)(c)或申請 專利範圍第3項之元件之淨化來達成各種效果,其品質 是沈積磊晶層時所需要的,則剩餘氣體之分壓(如上所定 義者)最多須保持在l(T8mbar,較佳是最高保持在1(Γ9 -13- 573050 五、發明說明(12) m b ar。這是依據申請專利範圍第]9項中所述者。 本發明中之第一較佳之實施形式中上述至少一電漿促 進之處理步驟是沈積一均勻-或異質(hetero)之磊晶層。 依據申請專利範園第21項,此種層沈積成矽一鍺層是 更佳的。 依據申請專利範圍第22·項,製成·一種圓板形式之元 件。 依據申請專利範圍第23項之較佳之實施形式,受到 此種處理之元件是矽-晶圓或由化合物半導體所構成之 晶圓,較佳是由砷化鎵,磷化銦,碳化矽或玻璃所構成 之晶圓。申請專利範圍第2 4項依據本發明之製造方法 而限定各種已沈積之層材料。 本發明依據申請專利範圍第25項之製造方法之最重 要之實施形式中,製成上述形式之實際基板(其較佳是由 石夕一鍺所構成)。 在本發明之製造方法之其它較佳之實施形式中,依據 申請專利範圍第26項,所製成之各元件(特別是平面式 或圓板式元件)之直徑至少是I 5 Onm,較佳是至少 2 0 0mm,更好是至少3 0 0 m m。 在申請專利範園第27項之另.-較佳之實施形式中’ 以至少60nm/分鐘之塗層速率來形成各元件之塗層。 就實際基板(特別是以矽-鍺爲主者)而言,目前通常 使用濕式化學淨化方法,以便使已製成之實際基板之表 面被淨化以用於隨後之各處理步驟中,此時已完成磊晶 塗層之基板之表面被淨化以提供一種實際晶圓,然後使 -14- 573050 五、發明說明(13 ) 襯底淨化,其在緩衝層生長之前適用於磊晶生長過程。 在本發明之範圍中現在可確認:使用上述之低能量之電 漿以用於電漿促進之淨化步驟中,須進行此種淨化,使 隨後製造實際晶圓或各元件(其由實際晶圓開始)時不會 發生問題。一方面是藉由使用電漿促進之淨化方法而不 使用濕式化學淨化方法而得到明顯之優點,另一方面可 使此種電漿促進之淨化方法整合至實際基板或以其爲主 之各元件之製造方法中。因此,依據申請專利範圍第2 8 項,其建議一種以矽-鍺爲主之實際基板及以此實際基 板爲主之元件之製造方法,其包含至少一種淨化步驟, 其以電漿來促進且工件在程序室中受到所導入之反應氣 體或氣體混合物所作用。該反應氣體藉由低能量之電漿 放電(其在元件之表面上之離子能量最大是15eV)。 以乾式淨化方法及高精細之表面所達成之顯著效果是 由於使用低能量之電漿,如以上所定義者。 在申請專利範圍第29,30項中設定本發明之真空處 理系統,其特別適合用來進行上述方法:依據申請專利 範圍第29項,程序室-內壁表面在新狀態中由一種對 電漿所驅動之反應氣體或氣體混合物是鈍性之材料(較佳 是介電質材料)所構成。依據申請專利範圍第30項,程 序室由程序室壁向內所設定,各程序區間以相隔開之方 式來形成。本發明之真空處理系統之較佳實施形式設定 在申請專利範圍第42至60項中。 本發明以下將依據圖式來詳述。圖式簡單說明: 第1圖係進行本發明之方法所用之程序模組之第一實 -15- 573050 五、發明說明(14) 施形式。 第2圖係類似於第1圖之程序模組之較佳之實施形式。 第3圖係進行本發明之淨化步驟所用之另一程序模組 ,其類似於第1,2圖。 第4圖係第3圖中所示之程序模組之另一種形式,其 類似於第1至3圖。 第5圖係本發明依據第2圖之程序模組之已簡化之較 佳之實施形式,其可轉變成第3或第4圖之程序模組。 第6圖係以第5圖之光軸A作爲參考,藉由控制所造 成之時間及空間上之調變,其針對平面E上垂直於光軸 A及平行於光軸A之磁場成份。 第7圖係第1至5圖之程序模組之以工件來進行之連 續塗層對時間之圖解,在進行一預定數目之處理步驟之 後須進行自我淨化。 第8圖係第1至5圖之程序模組共同組合成直線式連 續設備。 第9圖係第1至5圖之程序室組合成圓形一或串集 (Cluster)設備之俯視圖,特別是用來製成本發明之實際 晶圓及其上之各元件。 第1圖是本發明型式(Type)I之程序模組。真空承受 器3之室壁1圍繞一種程序室PR,其中產生電漿。程序 室PR中設有基板載體5,輸送線7 —方面與程序室PR 相連通且另一方面亦與反應氣體-槽配置9相連通。程 序室PR經由泵端Π(圖中顯示真空泵13)而被抽氣以處於 本發明之方法所需之最高是l〇_8Hibar(較佳是l(T9mbar)之 -16- 573050 五、發明說明(15) 壓力處。承受器之構造符合UHV條件(例如,金屬密封 之真空盆,可加熱)。室壁1(其通常由不銹鋼或Ιη〇χ所 構成)之面向程序室PR之表面之主要之表面區是由槽9 中Μ電漿驅動之反應氣體是鈍性之材料所製成。依據第 1圖中所示之型式I之程序模組,室壁1內塗佈上述之 鈍性材料,或在室壁1上之內壁部至少安裝一由上述鈍 性材料所構成之內表面。此種塗層或鈍性材料表面在第 1圖中以1 5表示。在對程序室PR抽氣至所需求之剩餘 氣體一分壓之後,引入一種工作氣體(例如,氬)至程序 室PR中以產生本發明所需之低能量電漿,其形成在基 板載體5或其上所形成之元件之區域中且離子能量Ε是 0eV< Eg 15eV。較佳是使用一種介電材料(因此,其是 以下述之組G所構成之材料中之至少一種)作爲面向程 序室PR之表面15之材料。 石英,石墨,碳化矽,氮化矽,氧化鋁,氧化鈦,氧 化鉬,氧化鈮,氧化銷,類似鑽石之碳或鑽石,鑽石之 表面材料均可用作層材料。 第2圖類似於第1圖,其是本發明型式I之程序室, 其中與第1圖相同之元件使用相同之參考符號。但第2 圖之不同處是其程序室PR是由沿著室壁1(由不銹鋼或 InOx所構成)之主要區段而相隔開之程序室壁14爲邊界 。至少其面向程序室PR之表面15a是由槽9中對電漿 驅動之反應氣體是鈍性之材料(較佳是介電質材料)所構 成,特別有利的是由該組G中至少一種材料所構成。 在真空室(其壁面以1表示)內部中形成程序室外罩所 用之壁14可由形成該表面15a所用之材料所構成,或 .—^ -17- 573050 五、發明說明(16) 形成該表面1 5 a所用之鈍性材料是形成在支撐用之面向 此壁1之壁(未顯示)上,其例如以塗層方式而形成,程 序室PR未施加此塗層且例如由不銹鋼或InOx所構成。 藉由泵端1 1或泵13對程序室PR進行抽氣至第1圖中 所提及之剩餘氣體分壓,此期間例如第2圖所示,介於 真空室壁1及外罩1 4之間之中間空間ZW經由各別之泵 端1 1 a以相同之泵或另一真空泵使氣體抽出。 此行之專家可輕易得知:在使用相同之泵1 3以抽吸 此二個空間(即,程序室PR及中間空間ZW)時,須在相 對應之泵支件1 1或1 1 a中形成一些可控制之節流件。 相對於低能量之電漿(其在第2圖之模組上用來進行本發 明之方法)而言,第1圖所示模組中已作成之先決條件是 適當的。第2圖之實施形式中由壁14所形成之程序室 -外罩較佳是可在承受器3a中更換。 第3圖類似於第1,2圖,其顯示一種型式IIe之程序 模組,其與第2圖之不同處只是:圍繞此程序室PR所 用之表面1 5b不滿足第2圖之程序模組中所述之鈍性需 求,其中此壁14a(例如,壁1)由不銹鋼材料或ΐη〇χ或 其匕金屬所製成。就已調整之剩餘氣體-分壓,基板載 體區中之離子能量而言,第1,2圖中所述之方式是適 合的,(通常是)金屬之壁14a同樣可更換,使第3圖之 程序模組一型式IIe可輕易地轉換成第2圖之程序模組 一型式I且反之亦可。 本發明中第1至3圖之程序模組結構是與其上所進行 之程序無關。 -18- 573050 五、發明說明(17) 第4圖顯示一種非本發明之另一程序模組一型式line ,其類似於第1至3圖。其與第1至3圖中之程序模組 之不同點是:在型式IIne中此程序室以程序室壁1爲邊 界,此壁1之表面例如由不銹鋼或InOx所構成。但若 其結構不使用本發明之程序模組(即,藉此來進行本發明 之方法),則就所設定之剩餘氣體分壓及電漿而言,型式 I和IIe之模組中已述及之說明是適當的。 很明顯之事實是:型式I,lie及IIne之各模組藉由相 對應之程序室外罩14,15b之去除或加入而可互相轉換。 第5圖是第2圖之型式I之程序模組之較佳之實施形 式。因此可知:由第2圖之模組開始之全部所使用之措 施(其在第5圖之模組中另外加入或屬特定形式)在第2 圖之模組上可各別使用或以任意之部份組合之方式來使 用。 第5圖所示之型式I之程序模組如圖所示可輕易地轉 換成型式lie或型式line之模組。第5圖之程序模組之 承受器壁101 (較佳由不銹鋼或InOx所製成)在中央(較佳 是在上側上)承載正面板103及電子源105以便在程序室 PR中同時產生電漿放電。雖然本發明所需求之基板載體 區中之離子能量範圍中亦可使用其它電漿(例如,微波電 漿),但較佳是使用電子源(例如,電子源1 0 5 ),其所發 出之電子所具有之能量最高可達100eV,較佳是50eV。 在較佳之實施形式中,電漿放電是以DC放電來達成。 第5圖之電子源1 0 5較佳是以熱離子化之可直接加熱之 陰極1 0 7來構成,其設置在陰極室1 〇 9中,陰極室1 〇 9 -19- 573050 五、發明說明(18) 具有亀I主丄與承受益壁1 〇 ],1 〇 3相隔開之陰極室壁。 陰極室經由光_ 1 Π而與程序室p r相連通。工作氣體 (例如,氬)以較佳之方式(未顯示)導入陰極室]〇 9中, 使4游離I生之1¾極1 0 7受到保護而不會使反應氣體流入 程序室PR中,以達成較高之電子發射率。 程序室一外罩Π3類似於第2圖圍繞該程序室PR而 安裝著且較佳是可更換,外罩n 3是與承受器壁】0 3, 1 〇 1相隔開且與其形成中間空間Z W。外罩1 1 3內部之程 序室P R以及該中間空間Z W經由相同之泵端1 1 5來抽 吸。泵端Π 5之不同之泵橫切面一方面連通至中間空間 ZW’且另一方面連通至程序室Pr 陽極配置係作用在程序室P R中,如第5圖所示,陽 極較佳是由二個或多個對光軸A形成共圓而配置之陽極 Π 7 a或1 1 7 b所形成。各陽極1丨7 a或1] 7 b可分別獨立 地處於接地電位或處於陽極電位(未顯示),即,其可互 相獨立地調整。金屬承受器壁]〇 I,〗〇 3是在參考電位 (較佳是接地電位)。沿著光軸A而偏移之陽極n 7a, 1】7 b在電性上可互相獨立地操作,較佳是亦可獨立地加 熱或冷卻(未顯示)。這以下述方式達成:在陽極中設有 退火介質線及/或加熱螺旋線。 第5圖中之破折線表示由所使用之電漿產生配置所產 生之電漿束PL’其電漿密度分佈以V表示(其與光軸a 共軸)。藉由施加陽極電位至陽極Π h及I】7b或對丨,極 進行退火控制,則可適當地調整電漿密度分佈v。 一 罕壬序生P R中安裝晶圓支件1 1 9或(如以下將詳述者)馬 -20 - 573050 五、發明說明(19) 圓支件1 1 9可導入程序室PR中。基板支件Η 9用來處 理圓板形式之工件1 20,承載面1 1 9a可平行於光軸A, .或與其成一斜角或與其相垂直,但均以離心方式配置者 。晶圓支件以其承載面119a對該光圈111之光軸A成 同心而配置是較佳的。藉由外部之驅動器1 2 1 (如雙箭頭 F所示),則晶圓支件Π 9可針對由程序室外罩1 1 3所界 定之接收口 123推入或拉出。若晶圓支件]1 9藉由驅動 器1 2 1完全針對程序室PR而高速運行,則其邊緣區I 25 至少須封閉此程序室外罩1 1 3之光接收口] 2 3,以防止 電荷載體由程序室PR漏出。 上述較佳之圓板形式之工件或待處理之元件藉由縫線 閥129而置放在靜止之接收支件]2 6上,晶圓或工件支 件1 I 9則下降。然後使晶圓支件Π 9上升,以其承載面 1 1 9a抓握此工件或晶圓1 20而將其甶靜止之支件]26中 取下且向上移動至程序室P R中,在到達該加工位置時 以其邊緣面】2 5在上述之範圍中封閉該程序室。 支件1 2 6安裝在工件退火元件1 2 7上,工件退火元件 127經由退火介質供應/發送線128而施加以一種退火 介質。已導入之基板1 2 0經由板1 2 8 a而被加熱。第5 圖中以虛線表示晶圓支件Π 9在其加工位置中。 承受器壁1 〇 1及其正面之終端板1 03或1 3 1須退火(較 佳是被冷卻)。形成該外罩所用之壁1 〇 1以雙壁構成,退 火介質系統設在雙壁之間。在正面板1 〇3或1 3 1中同樣 設有退火介質-線系統。 Helmholtz線圈133及已劃分之反向線圈135安裝在 -2 1 - 573050 五、發明說明(2〇) 真空承受器外部。藉由H e 1 m h 〇 j t z線圈1 3 3而在程序室 PR中產生一平行於軸A且與軸A相對稱之磁場圖樣。 這可藉助於反向線圈1 3 5在垂直於軸a之平面中(如第6 圖所不)偏移。藉由fe場強度分佈Η A之”偏移”,則可使 施加在基板載體1 1 9上之基板上之電漿密度分佈v,,偏移,, 。因此,可在電漿密度分佈V及基板載體1]9上之待處 理之工件表面之間達成一種相對移動,就像基板相對於 電漿(其電漿密度分佈V不隨時間而改變)而移動一樣。 藉由電場分佈上之控制’則在基板上可達成相同之效應 ’就像基板相對於電漿而作機械式移動一樣,但基板實 際上未作機械式移動。 反應氣體經由反應氣體入口〗3 7而引入程序室PR中 。如圖所示,反應氣體入口較佳是配置在位於加工位置 中之基板1 2 0或基板載體I 1 9之直接區域中而與軸A共 軸’各入口平行於待處理之基板面。 如上所述,由不銹鋼所構成之真空承受器】01 ’ 1 0 3 須強力地被冷卻,其符合U Η V條件。在此製程中強力 之冷卻作用可防止鋼被加熱,含碳之氣體因此不會由鋼 中釋出。 就程序室-外罩Π 3之材料,特別逶其受到此製程所 作用之表面而言,依據第1圖來說明如卜·純丨生柄料(較 佳是介電質材料或由上述之材料組G中所選取者)在局 的製程溫度中是穩定的且與所使用之反應漱飯(将别是氫 ,矽烷,鍺(Germane),二硼烷(Dibopne) ’ 氣 ’ NF3 ’ p H 3,A s H 4)不會573050 V. Description of the invention (1) The present invention relates to an optical or micromechanical element of an electronic component 'optical element' or an optical element or an intermediate product formed by using at least one plasma-promoted processing step in the preface of the first scope of the patent application. A manufacturing method in which a reaction gas or a gas mixture introduced in a process chamber is activated on the surface of this element by a low-energy plasma discharge (ion energy is E), wherein 0 eV < Eg 15eV. The present invention also relates to a method for manufacturing an actual substrate mainly composed of silicon-germanium and components thereon, which is prefaced by item 28 of the patent application scope, and includes at least one purification step. The invention also includes the vacuum processing system of the preface of the 29th or 30th patent application scope. The present invention basically relates to a method for manufacturing some elements, and the requirements of these elements are the same as when an epitaxial layer is applied to each element. Methods and systems of the above-mentioned form are known from WO / 5 8099 by the same applicant. This document describes a plasma-assisted processing step in which the reactive gas or gas mixture introduced in the process chamber is activated on the surface of the element by a low-energy plasma discharge performed by ions of ion energy E. ) Where 0eV < ES15eV, which describes in detail the coating of an epitaxial layer on a workpiece. The plasma generated by the low-energy plasma discharge is composed of electrons, ions and neutral particles (atoms, dissociated molecules) that have been charged one or more times, and neutral particles that have been excited but not free. The characteristic of the plasma described here is the energy range OeV of a single ionized ion. < E g 1 5eV. It is the so-called sputter threshold. This starts the role of each ion on the substrate and can damage the substrate. The electron itself can be up to 573050. V. Description of the invention (2) Only 1) The energy of the light can only heat the substrate. Another known is ... especially in the above-mentioned energy range of the single-charged ions in the best DC low voltage-plasma generation configuration, which makes the neutral particles present in the plasma. There is an upper limit to the energy range of the excited neutral particles. The reason is that ... The neutral particles obtain their main energy by collision with ions. The vacuum processing system required for the above coating is also described in WO9 8/5 8099. It contains the vacuum chamber. The vacuum chamber contains A workpiece carrier, a plasma generating configuration for generating a plasma in a vacuum chamber, and a gas introduction configuration connected to a gas tank configuration (which contains at least one reactive gas) in the vacuum chamber. The plasma generating configuration is a Low voltage-Plasma generation configuration: — * Cathode chambers are connected to the program chamber via a diaphragm. Installation in the cathode room— * hot cathode J Install an anode configuration in the program room. The workpiece carrier (orientated downward in the space) is configured by electrical isolation. Low voltage—the principle of plasma configuration Compared with other previous plasma generation methods (for example, microwave plasma), it is much better. This is because it satisfies the above-mentioned energy characteristics in a better way. The invention- * aspect starts from the method and system of the above-mentioned form, On the other hand, the method in WO98 / 5 8099 is implemented according to the present invention, and other criteria can be met according to the present invention, which will be described in detail below. The purpose of this invention is therefore to provide methods and systems of the above-mentioned form, whose industrial applicability can offer a lot of advantages in terms of economic criteria, especially longer life and larger material flow. During the life cycle P of the required bureau, it is necessary to ensure that the above-mentioned method is followed 573050 Ⅴ. Description of invention (3) High system purity is required. In addition, both the system and the automated process should achieve the best integrability of the steps of the method. The above object is achieved in the method of the present invention in that the process gas must be separated from the surrounding vacuum receptacles during the plasma-facilitated processing step. Basically, it is necessary to understand that the functional separation of each structure must ensure the required vacuum technology pressure ratio (relative to environmental pressure) on the one hand, and each structure must be directly subjected to the processing procedure, which can To achieve the above purpose. According to WO98 / 58099, the inner surface of the vacuum chamber (which is usually made of stainless steel or InOx) is directly adjacent to the process gas. In the plasma-assisted processing step, especially when the workpiece or component is coated with a low-energy plasma discharge, the wall surface of the vacuum chamber is heated, and the inner surface is therefore heated. Due to various effects such as the absorption characteristics of the inside surface during the above process description, as long as it is used in the industrial process, this process will cause an intolerable pollution of the process gas in the processing step or an intolerable residual gas partial pressure. . The remaining gas in the process gas is neither from the plasma discharge-operating gas (for example, argon), nor from the introduced reaction gas or gas mixture, nor from the reaction products in its gas form. By the method of the present invention, the influence of the manufacturing process can be minimized by the wall surface of the vacuum receiver. The method of the present invention is applied to (a) the coating of the component or (b) the material composition of the component in a particularly excellent manner in accordance with item 2 of the patent range up to a preset invasion depth, or (c) Used to etch the surface of components, especially for structural etching. In all of the above 573050 V. Description of the invention (4: It is absolutely necessary to comply with the conditions of the process in the case 5 These conditions are like the growth of the Jiajing layer in the process that has been started in the present invention— * All are required Therefore, by changing the composition of the material (b) 4 in the present invention, the material can be implanted into the predetermined large-scale material. In addition, according to A, the scope of the patent, item 3, 5 is suggested— * purification steps as the present invention Plasma-promoted treatment step 5 or in addition to the plasma-promoted treatment step of the present invention, perform another in accordance with item 4 of the patent scope-* purification step 0 in a preferred embodiment of the method of the present invention ' An actual substrate is made according to item 5 of the patent scope. A semiconductor wafer is called an actual substrate and has a special layer structure. The wafers made of general single crystal semiconductor materials are different, but they can also be used as raw materials for semiconductor components. 0 Semiconductor materials "A For example, 5 single crystal silicon in the form of a wafer) Use 1 as the original substrate A buffer layer is applied thereon, which is composed of a semiconductor component that is preferably continuously changeable, and another semiconductor component "B ,,", which is usually composed of a local component "A, and a low component" B ,, and becomes a local component. Composition "E 1" and low composition "A, 5 This is called a layered buffer layer 0 This / angry layer structure is defective 0 A cover layer is grown on the buffer layer > Its composition is the same as the uppermost buffer The layer area is the same. The purpose of the cover layer is to form a kind of mixed crystal layer with defects and Mj \\\ shift. The two components (ie, the substrate, the buffer layer and the cover layer) form the actual substrate. Shi Zhi It is possible to add other intermediate layers. Applying a unique active layer on the actual substrate. The composition of this semiconductor material is required for the achievable characteristics of the semiconductor material. The active layer material can be mixed with a semiconducting 6-body, but it is also possible. It consists of 573050 V. Invention description (5) A pure semiconductor material (such as "B"). This layer is usually very thin, so no offset is created thereon, so that the stress in this layer is still maintained (ie, b a n d g a p e n g i n e e r i n g). The growth of the effective layer can be combined with the composition of the actual substrate, but the actual substrate that has been prefabricated can be provided with such an effective layer. According to item 5 of the scope of patent application for the present invention, the above-mentioned substrate is subjected to a plasma-purified purification process, which is different from the current purification method. Currently, the actual substrate manufacturing process uses a wet purification method. A heterogeneous (h e t er) epitaxial buffer layer is then applied, and if necessary, the above-mentioned cover layer is also applied. A usable active layer is also applied when needed in the present invention, or converted to a cover layer after the buffer layer is applied, which allows the actual substrate already made to be applied with the active layer later. It can be inferred from this: the process used in the known actual substrate (the following BE BE (m ο 1 ec υ 1 ar beam epitaxy) j U Η VCVD (υ 11 ra li ighvacuum CVD), a LD (au 1 oma ti c 1 aye] · dep 〇 si ti ο n), etc.), in the low-energy plasma with plasma-promoted purification steps to replace the wet-chemical purification steps used can also be considered innovative and in The process technology has significant advantages. Item 8 of the scope of patent application is to propose the above-mentioned manufacturing method. As far as the above-mentioned processes in the X industry are concerned, it is usually necessary to subject the processing steps promoted by the above-mentioned plasma, (b), each component to be treated is subjected to a purification step, so that, for example, these surface pollution with the surrounding atmosphere can be removed Thing. # ^ In addition, according to the above-mentioned plasma processing steps (a), (b_, y), a purification step is needed, for example, the contaminated material released by etching can be removed 573050 5. Description of the invention (6;) material Or gas 〇 In the implementation form of the purification process, various reaction gases (hydrogen, hydrogen-rare gas mixture) can be used, which can affect the materials used in the process gas area. According to the fourth item of the patent scope In the purification step, the process gas can be provided—a low-cost metal enclosure, or the purification process gas can be directly bordered by the inner wall of the vacuum receiver located in the Isa environment. The above-mentioned processing steps for each component ( a), (b), (in terms of 0, the non-metallic boundary of the process gas is particularly advantageous. 5 That is, the material on the boundary is insensitive to the reactive gas activated by the plasma. In addition, in During this purification step, make sure: The cleaned surface of the element will not be affected as it is when this treatment is applied to the various crystal layers. During the purification process of this element by plasma chemistry, the above-mentioned low energy Plasma (the ion with a specific energy) is used on the surface of this element. In addition, the method described in item 6 of the main sundial patent scope is: in the program room viewed ^ according to the chronological order Each element that appears is subjected to at least one of the above-mentioned plasma-facilitated processing steps, and after performing a predetermined number of such processing steps, another plasma-promoted processing is performed in the program room viewed above. Step, that is, the purification step of the program room 5 At this time, it is not necessary to introduce components into the program room, and it is not necessary to use a dummy substrate. This: Kind: Process. Procedure: Room: Cleaner: Steps are better: Yes. In at least two substeps To carry out: the etching is performed first and then the etching residue is purified. This purification process is preferably performed in a plasma, which contains hydrogen, a rare gas or a mixture thereof. Among the giants of the present invention > especially 8- As far as the realization of a longer life is concerned, 573050 V. Description of the invention (7) After performing a predetermined number of processing steps, the observed program room is purified by means of plasma promotion. In the program room, the components are usually processed or purified according to (a) or (b) or (c), which is achieved according to item 3 or 4 of the scope of patent application. However, it is also possible to sequentially coat, etch or change the composition of the material in a programmed sequence in the only observed room, and then purify the element in accordance with item 3 of the scope of patent application. The process gas of the present invention is separated by the wall of the vacuum receiver, so that the process chamber or components can be purified by a plasma chemical method, which uses multiple reaction gases, and the wall of the vacuum chamber is not eroded by each reaction gas. The observed procedure room can be plasma-assisted self-cleaning after a predetermined number of component processing steps and then directly used for processing of each component, which can greatly increase the life so that it can be operated continuously. This can be compared with the situation when the procedure room was purified according to WO98 / 58099. Utilizing the process of the present invention (where the epitaxial is provided with quality requirements and ~ coatings), the material composition of the element can be changed without a wet chemical purification step, and the structure of the element can be etched or purified, and The self-purification of the process chamber is performed between each processing step, which can be performed only by changing the process parameters, especially the introduced reaction gas. The same method in the process of the present invention can also be used to purify each component 'by changing the isolation condition between the process gas and the vacuum receiver or not. According to item 7 of the scope of the patent application, this component is locally subjected to at least two plasma-assisted processing steps, and the transportation during this operation is performed in a vacuum. According to item 8 of the scope of patent application, this is preferably performed in a straight line of 573050. 5. Description of the invention (8) is moved from one processing step to another, performed by a linear device, or along a circular track. It is performed in a circular device, which is called "Cluster Apparatus". In this equipment, the processing stations of the group in the circular conveying method are programmed, and it may be unnecessary when the situation requires, which is related to the circular conveying of each component or workpiece. In a preferred implementation form of item 9 of the scope of patent application of the present invention, the process gas and the surface of the vacuum receiver wall are separated by the boundary of the process chamber, which is caused by the chemical driving of the plasma in the new state. The reaction gas or gas mixture is achieved by a passive surface, preferably by a dielectric surface or a graphite surface. During the operation, especially the coating (a), the change of the material composition (b) or the etching (0, especially the structural etching or purification, a material is deposited on the surface each time. However, such materials cannot be used in the manufacturing process. Contaminated or can only be contaminated to a limited extent. If the same processing steps are performed on sequentially occurring components in the same process room under observation, it is desirable to make the above-mentioned bluntness in the new state (preferably the The above-mentioned reaction products-materials are coated on the insulation surface (electricity or graphite). Of course, these materials only need to be wide enough so that the formed coating can be firmly adhered to the above-mentioned surface. It is a dielectric) The surface is set as follows: $: Apply a structure required to form a pure surface directly on the inner surface of the vacuum receiver. This can be achieved by coating this material. The inner wall of the vacuum receiver is achieved by self-supporting walls; the surface inside the dagger has been turned over. In a preferred implementation form, according to the scope of application for patent i i ^, the blunt surface at least along the main area District Vacuum Receiver & Θ & -10- 573050 V. Description of the Invention (9) An intermediate space is separated. This method is suitable for the replaceability of the partition structure (ie, the affinity of service) and the appropriate surface temperature. Presets have significant advantages. According to item 11 of the scope of patent application, the program room and the above-mentioned spaces can be pumped in the same or different ways. In addition, it can also be stored in the intermediate space. Into the atmosphere, it can form the desired thermal conductivity between the wall of the vacuum receiver and the surface. If a gas with a large thermal conductivity (for example, helium) is introduced into the intermediate space and / or at least temporarily If the pressure is greater than that in the procedure room, the thermal conductivity in the intermediate space is higher than that in the procedure room, so that the surface can be maintained at the desired temperature. Then note that the heat conduction decreases with the pressure at the specified vacuum pressure And of course, it is related to the heat capacity of the relevant gas contained. In the new state, the better material for the surface is set in item 12 of the scope of patent application. It must be emphasized: (Preferably dielectric) surface made of materials, this is mainly for the surface material facing the surface of the program room. This surface is preferably formed by the surface of the partition wall. This surface can be Coating, so that the surface facing the vacuum receiver is made of metal, and the surface facing the process chamber or process gas is a blunt surface. According to item 12 of the scope of patent application, the surface is formed by a layer structure. The material of the diamond or the use of diamonds. It is a known fact that in plasma chemistry the coating rate increases with increasing temperature (and the strength of the applied plasma). As mentioned above, it is highly desirable to make program-oriented The reaction products corresponding to the respective procedures are applied on the surface of the chamber with the plasma-activated reaction gas. However, care must be taken that the applied coating must be prevented from falling off each time. 573050 5. Invention description (10) is achieved: by controlling the temperature of the above surface, the application rate of the above surface can be minimized in the process step of plasma promotion. The selected interfering coating rate can therefore be much lower than the effective rate on the component, and the self-cleaning of the process room is performed only after a larger number of component treatments. At this time, the above-mentioned coating is removed before the thickness of the above-mentioned coating has reached a danger relative to the peeling part. The effective rate on the component is determined by the process, coating rate, penetration rate, etching rate, and purification rate. The object of the invention is to provide a degree of automation of the method or system. According to item 13 of the scope of patent application, a supply port for the component is provided in the above surface, and this supply port is closed by the component and / or the carrier for the component during component processing, at least so that the charge carrier will not be affected by Leak in program room. In another preferred embodiment, it is preferred to use a low-energy plasma discharge according to item 14 of the scope of the patent application. The electron energy of the electron source S 10 0eV is preferably less than 50ev, which is particularly DC discharge. To achieve this, according to item 15 of the scope of the patent application, direct heating by means of a thermally ionized cathode. The treated surface of the element is preferably in direct contact with the plasma. According to item 16 of the scope of patent application, it is preferable to set two locally offset anodes in the program room for plasma discharge. These anodes can be separated and heated. By controlling the potential applied to each anode and / or its temperature, the plasma density distribution can be adjusted (or controlled) dynamically or statically. The so-called static adjustment means to remain static at least during the processing step. Dynamic adjustment means that at least one of the above-mentioned parameters will change during the processing step. This is oscillated in the form of a curve corresponding to a pre--12-573050 in a vibration type periodically or non-periodically. 5. Description of the invention (11) , Or with any linear- or non-linear ramp function in the processing step. Especially in the last method, various characteristics that can be changed in the procedure room must be considered and compensated during the processing step, or the plasma density must be changed to the desired change over time on some surfaces. According to item 17 of the scope of the patent application, a magnetic field is generated in the program room, which is as static or dynamic as the above-mentioned parameters anode potential and / or anode temperature, which controls the distribution of plasma density on the surface of this element. By controlling the time variation of the magnetic field, the plasma density distribution can change along the surface of the element, especially as the element periodically moves in a stationary distributed plasma. With this type of vibration of a magnetic field and an oscillating change in the plasma density distribution along the surface of a stationary component, the same effect can be achieved, as if the component moved in an oscillating or rotating manner, but especially the vacuum technology is It is advantageous without having to have moving elements. According to item 18 of the scope of the patent application, the reaction gas is introduced to be distributed in the program gas, preferably in an inflow direction parallel to the surface of the component, and more preferably at an injection position equidistant from the surface of the component. The surface of this element can be optimally exposed to the plasma-driven reaction gas, and the fresh reaction gas that has been introduced can be optimally used, which is expressed by the reciprocal of the efficiency, that is, the fresh Ratio of reaction gas to fresh reaction gas withdrawn per unit time. In order to achieve various effects through the above-mentioned processing steps, especially the purification of the components of (a), (b) (c) or the third item of the patent application, the quality is required when depositing the epitaxial layer, and the remaining The partial pressure of the gas (as defined above) must be kept at most l (T8mbar, preferably at most 1 (Γ9 -13- 573050) 5. Description of the invention (12) mb ar. This is based on the scope of the patent application] [9] In the first preferred embodiment of the present invention, the at least one plasma-promoted treatment step is to deposit a homogeneous or heterogeneous epitaxial layer. According to item 21 of the patent application park, It is better to deposit such a layer into a silicon-germanium layer. According to the patent application scope item 22 ·, a component in the form of a circular plate is made. According to the preferred implementation form of the patent application scope item 23, it is subjected to such treatment. The component is a silicon-wafer or a wafer composed of a compound semiconductor, preferably a wafer composed of gallium arsenide, indium phosphide, silicon carbide, or glass. The 24th scope of the patent application according to the invention Manufacturing method In the most important implementation form of the manufacturing method of the present invention according to item 25 of the scope of the patent application, an actual substrate (which is preferably composed of Shi Xiyi Ge) is made in the above-mentioned form. In the manufacturing method of the present invention, In other preferred implementation forms, according to item 26 of the scope of patent application, the diameter of each component (especially a planar or disc-type component) is at least I 5 Onm, preferably at least 200 mm, and more preferably At least 300 mm. In the 27th alternative of the patent application park.-The preferred implementation form is to form the coating of each element at a coating rate of at least 60 nm / minute. For actual substrates (especially silicon- For germanium), wet chemical purification is usually used to clean the surface of the actual substrate that has been made for subsequent processing steps. At this time, the epitaxial coating of the substrate has been completed. The surface is cleaned to provide an actual wafer, and then -14-573050 V. Description of the invention (13) The substrate is cleaned, which is suitable for epitaxial growth process before the buffer layer is grown. Within the scope of the present invention, it can now be confirmed: Make The above-mentioned low-energy plasma is used in the plasma-promoted purification step, and this purification must be performed so that no problems occur when the actual wafer or components (which start from the actual wafer) are subsequently manufactured. On the one hand, Obvious advantages are obtained by using a plasma-assisted purification method instead of a wet chemical purification method. On the other hand, this plasma-assisted purification method can be integrated into the actual substrate or the manufacture of various components based on it. Therefore, according to item 28 of the scope of patent application, it proposes a method for manufacturing an actual substrate mainly composed of silicon-germanium and a component based on the actual substrate, which includes at least one purification step, which uses a plasma. To promote and the workpiece in the process chamber by the reaction gas or gas mixture introduced. The reaction gas is discharged by a low-energy plasma (the maximum ion energy on the surface of the element is 15 eV). The significant effect achieved by dry cleaning methods and high-definition surfaces is due to the use of low-energy plasmas, as defined above. The vacuum processing system of the present invention is set in the scope of patent application items 29 and 30, which is particularly suitable for performing the above method. According to the scope of patent application scope item 29, the program chamber-inner wall surface is controlled by a plasma in a new state. The driven reaction gas or gas mixture is made of a passive material, preferably a dielectric material. According to item 30 of the scope of patent application, the program room is set inward by the wall of the program room, and each program section is formed in a spaced manner. The preferred implementation form of the vacuum processing system of the present invention is set in the 42th to 60th patent application scope. The present invention will be described in detail below with reference to the drawings. Brief description of the drawings: Figure 1 is the first implementation of the program module used to perform the method of the present invention. -15- 573050 5. Description of the invention (14) Application form. Figure 2 is a preferred implementation form of the program module similar to Figure 1. Fig. 3 is another program module for performing the purification step of the present invention, which is similar to Figs. Figure 4 is another form of the program module shown in Figure 3, which is similar to Figures 1 to 3. Fig. 5 is a simplified and better implementation form of the program module according to Fig. 2 of the present invention, which can be transformed into the program module of Fig. 3 or 4. Fig. 6 uses the optical axis A of Fig. 5 as a reference, and controls the temporal and spatial adjustments caused by the magnetic field components on the plane E perpendicular to the optical axis A and parallel to the optical axis A. Figure 7 is a graphical illustration of the continuous coating versus time of the program modules of Figures 1 to 5 after the workpiece has been self-cleaned after a predetermined number of processing steps have been performed. Figure 8 shows the program modules of Figures 1 to 5 together to form a linear continuous device. Fig. 9 is a top view of the process chambers of Figs. 1 to 5 assembled into a circular one or cluster device, especially used to make the actual wafer of the present invention and its components. FIG. 1 is a program module of Type I of the present invention. The chamber wall 1 of the vacuum receiver 3 surrounds a process chamber PR in which a plasma is generated. A substrate carrier 5 is provided in the procedure room PR, and the conveying line 7 is connected to the procedure room PR on the one hand and to the reaction gas-tank arrangement 9 on the other hand. The program chamber PR is evacuated through the pump end Π (the vacuum pump 13 is shown in the figure) to be at the highest required by the method of the present invention is 10-8 Hibar (preferably -16-573050 for l (T9mbar) V. Description of the invention (15) Pressure. The structure of the receiver meets UHV conditions (for example, a metal-sealed vacuum basin that can be heated). The main part of the surface of the chamber wall 1 (which is usually composed of stainless steel or Ιηχ) facing the program room PR The surface area is made of a passive material driven by the M plasma in the groove 9. According to the program module of the type I shown in FIG. 1, the above-mentioned passive material is coated in the chamber wall 1. Or, at least one inner surface made of the above-mentioned blunt material is installed on the inner wall portion of the chamber wall 1. The surface of this coating or blunt material is represented by 15 in the first figure. After a partial pressure of the remaining gas required, a working gas (for example, argon) is introduced into the process chamber PR to generate the low-energy plasma required by the present invention, which is formed on the substrate carrier 5 or formed thereon In the region of the element and the ion energy E is 0eV < Eg 15eV. It is preferable to use a dielectric material (thus, at least one of the materials composed of the group G described below) as the material of the surface 15 facing the program chamber PR. Quartz, graphite, silicon carbide, silicon nitride, aluminum oxide, titanium oxide, molybdenum oxide, niobium oxide, oxide pins, diamond-like carbon or diamond, and diamond surface materials can be used as the layer material. Fig. 2 is similar to Fig. 1 and shows the program room of the type I of the present invention, in which the same elements as those in Fig. 1 use the same reference symbols. However, the difference in FIG. 2 is that the procedure chamber PR is bounded by the procedure chamber wall 14 separated along the main section of the chamber wall 1 (consisting of stainless steel or InOx). At least its surface 15a facing the program room PR is composed of a material (preferably a dielectric material) that is passive to the plasma-driven reaction gas in the groove 9, and is particularly advantageous from at least one of the materials in group G Made up. In the interior of the vacuum chamber (the wall surface of which is represented by 1), the wall 14 used to form the program outdoor cover may be composed of the material used to form the surface 15a, or ^ -17- 573050 5. Description of the invention (16) Form the surface 1 The blunt material used in 5a is formed on a supporting wall (not shown) facing this wall 1, which is formed, for example, by a coating method, the coating chamber PR is not applied with this coating and is made of, for example, stainless steel or InOx . The program chamber PR is evacuated by the pump end 11 or the pump 13 to the residual gas partial pressure mentioned in the first figure. During this period, for example, as shown in FIG. 2, it is between the vacuum chamber wall 1 and the outer cover 14. The intermediate space ZW is used to pump out the gas through the respective pump ends 1 1 a with the same pump or another vacuum pump. Experts in this field can easily know that when using the same pump 13 to suck the two spaces (ie, the procedure room PR and the intermediate space ZW), the corresponding pump support 1 1 or 1 1 a Some controllable throttling pieces are formed. Compared to the low-energy plasma (which is used in the module of Figure 2 to perform the method of the present invention), the prerequisites already made in the module shown in Figure 1 are appropriate. In the embodiment shown in FIG. 2, the process chamber formed by the wall 14-the outer cover is preferably replaceable in the holder 3a. Figure 3 is similar to Figures 1 and 2 and shows a type IIe program module. The only difference from Figure 2 is that the surface 15b surrounding the program room PR does not meet the program module of Figure 2. The bluntness requirement described in wherein this wall 14a (eg, wall 1) is made of stainless steel material or ΐηχ or its metal. Regarding the adjusted residual gas-partial pressure and the ion energy in the substrate carrier area, the method described in Figs. 1 and 2 is suitable, and (usually) the metal wall 14a is also replaceable, so that Fig. 3 The program module type IIe can be easily converted into the program module type I of Fig. 2 and vice versa. The structure of the program module of Figs. 1 to 3 in the present invention is independent of the programs performed on it. -18- 573050 5. Description of the invention (17) Figure 4 shows another program module, a type line, which is not the present invention, which is similar to Figures 1 to 3. The difference from the program module in Figures 1 to 3 is that in the type IIne, the program room is bordered by the program room wall 1, and the surface of the wall 1 is made of, for example, stainless steel or InOx. However, if the structure does not use the program module of the present invention (that is, the method of the present invention is performed by this method), as far as the remaining gas partial pressure and plasma are set, the modules of type I and IIe have been described. And the description is appropriate. The obvious fact is that the modules of the types I, lie and IIne can be switched to each other by removing or adding the corresponding program outdoor covers 14, 15b. FIG. 5 is a preferred implementation form of the program module of the type I in FIG. 2. Therefore, it can be known that all the measures used from the module in Fig. 2 (which are added to the module in Fig. 5 or are in a specific form) can be used individually or in any arbitrary way on the module in Fig. 2 Partial combination. The program module of type I shown in Figure 5 can be easily converted to a module of type lie or type line as shown in the figure. The receiver wall 101 (preferably made of stainless steel or InOx) of the program module in FIG. 5 carries the front panel 103 and the electron source 105 in the center (preferably on the upper side) so as to generate electricity in the program room PR at the same time. Plasma discharge. Although other plasmas (for example, microwave plasma) can also be used in the ion energy range in the substrate carrier region required by the present invention, it is preferred to use an electron source (for example, the electron source 105). Electrons have energy up to 100 eV, preferably 50 eV. In a preferred embodiment, the plasma discharge is achieved by a DC discharge. The electron source 105 in FIG. 5 is preferably composed of a thermally ionizable, directly-heatable cathode 107, which is provided in the cathode chamber 109, and the cathode chamber 10-9-19-573050. V. Invention Explanation (18) There is a cathode chamber wall separated by 亀 I main 丄 and bearing wall 100], 103. The cathode chamber is in communication with the program chamber pr via the light — 1 Π. A working gas (for example, argon) is introduced into the cathode chamber in a better way (not shown), so that the free radicals 1 and 2 and the poles 10 and 7 are protected from flowing into the process chamber PR in order to achieve Higher electron emission rate. An outer cover Π3 of the procedure room is similar to FIG. 2 and is installed around the procedure room PR and is preferably replaceable. The outer cover n3 is spaced from the receptacle wall] and forms an intermediate space ZW. The program chamber PR inside the housing 1 1 3 and the intermediate space Z W are sucked through the same pump end 1 1 5. The different pump cross sections of the pump end Π 5 communicate with the intermediate space ZW 'on the one hand and the program room Pr on the other hand. The anode configuration acts in the program room PR. As shown in Figure 5, the anode is preferably composed of two One or more anodes π 7 a or 1 1 7 b arranged in a circle to the optical axis A are formed. Each anode 1a 7a or 1] 7b can be independently at ground potential or at anode potential (not shown), that is, they can be adjusted independently of each other. The wall of the metal receiver], I, and 3 are at a reference potential (preferably a ground potential). The anodes n 7a, 1] 7 b, which are offset along the optical axis A, can be electrically operated independently of each other, preferably, they can also be heated or cooled independently (not shown). This is achieved by providing an annealing dielectric line and / or a heating spiral in the anode. The dashed line in Fig. 5 indicates the plasma beam PL 'produced by the plasma generation configuration used, and the plasma density distribution is represented by V (it is coaxial with the optical axis a). By applying the anode potential to the anode Πh and I] 7b or annealing the anode, the plasma density distribution v can be adjusted appropriately. 1. The wafer support 1 1 9 or (as will be described in detail below) is installed in the Han Renxian PR. Ma -20-573050 5. Description of the invention (19) The round support 1 1 9 can be introduced into the program room PR. The substrate support Η 9 is used to process the workpiece 1 20 in the form of a circular plate. The bearing surface 1 1 9a can be parallel to the optical axis A, or can be at an oblique angle or perpendicular to it, but they are arranged by centrifugation. It is preferable that the wafer support is arranged concentrically to the optical axis A of the diaphragm 111 with its bearing surface 119a. With the external driver 1 2 1 (as shown by the double arrow F), the wafer support Π 9 can be pushed in or pulled out of the receiving port 123 defined by the process chamber cover 1 1 3. If the wafer support] 1 9 is driven at high speed by the driver 1 2 1 completely for the program room PR, its edge area I 25 must at least close the light receiving port of the program outdoor cover 1 1 3] 2 3 to prevent charge The carrier leaks from the program room PR. The above-mentioned preferred workpiece or component to be processed in the form of a circular plate is placed on a stationary receiving support] 2 6 by a suture valve 129, and the wafer or the support 1 1 9 is lowered. Then the wafer support Π 9 is raised, and the workpiece or wafer 1 20 is grasped by its supporting surface 1 1a and the support is held at rest] 26 and moved upward to the program room PR. In the machining position, the program room is closed with its edge surface] within the above range. The support 1 2 6 is mounted on the workpiece annealing element 1 2 7, and the workpiece annealing element 127 is applied with an annealing medium via an annealing medium supply / send line 128. The introduced substrate 1 2 0 is heated via the plate 1 2 8 a. In FIG. 5, the wafer support UI 9 is shown in its processing position by a dotted line. The receptacle wall 1 0 1 and the front end plate 1 03 or 1 3 1 should be annealed (preferably cooled). The wall 101 used to form the outer cover is constituted by a double wall, and an annealing medium system is provided between the double walls. An annealed dielectric-wire system is also provided in the front panel 103 or 131. Helmholtz coil 133 and divided reverse coil 135 are installed at -2 1-573050 5. Description of the invention (20) The outside of the vacuum receiver. A magnetic field pattern parallel to the axis A and symmetrical to the axis A is generated in the program room PR by the He 1 m h 0 j t z coil 1 3 3. This can be offset by means of a reverse coil 1 3 5 in a plane perpendicular to the axis a (as shown in FIG. 6). With the "shift" of the fe field intensity distribution Η A, the plasma density distribution v ,, shift,, can be made on the substrate on the substrate carrier 119. Therefore, a relative movement can be achieved between the plasma density distribution V and the surface of the workpiece to be processed on the substrate carrier 1] 9, just like the substrate relative to the plasma (its plasma density distribution V does not change with time). Move the same. By controlling the distribution of the electric field ', the same effect can be achieved on the substrate' as the substrate moves mechanically relative to the plasma, but the substrate does not actually move mechanically. The reaction gas is introduced into the process chamber PR via the reaction gas inlet. As shown in the figure, the inlet of the reaction gas is preferably arranged in the direct region of the substrate 120 or the substrate carrier I 19 in the processing position and is coaxial with the axis A. Each inlet is parallel to the substrate surface to be processed. As described above, the vacuum receiver made of stainless steel] 01 ′ 1 0 3 must be cooled strongly, which meets the U Η V condition. The strong cooling effect in this process prevents the steel from being heated, so carbon-containing gases are not released from the steel. Regarding the material of the procedure room-housing Π 3, especially the surface affected by the process, such as Bu · pure green raw materials (preferably a dielectric material or the above materials) The one selected in group G) is stable in the process temperature of the bureau and reacts with the used rice (other than hydrogen, silane, germane, diborpne) 'gas' NF3' p H 3, A s H 4) no

Hch SiH3CH3,GeH3CH3,N2,C1F3, -22- 573050 五、發明說明(21) 形成氣體形式之化合物。這樣可使元件1 20不會受污染 。程序室-外罩113之內表面之干擾塗層只有在微粒形 成時才很重要。薄的干擾層甚至是較佳的,以確保此製 程有較佳之純度,程序室實際上只由此製程固有 (inherent)材料所圍繞。 在型式I之程序模組中,真空室壁通常由不銹鋼所構 成且未塗層,因其藉由程序室-外罩113而受到保護而 不會與反應氣體及電漿相接觸。此外,如第5圖所示, 強力冷卻可使該處之氣相沈積大大地減少,這就程序室 一外罩1 1 3之內表面而言是適當的,但亦適用於基板支 件1 1 9之承受此製程之表面。 程序室-外罩113較佳是以多個部份(未顯示)構成, 使其不需解除陽極配置117a,117b即可被移除或更換 。藉由第5圖中所示之程序室-外罩113之移除,則可 形成型式IIne之程序模組之較佳之實施形式,或以同樣 型式之由金屬構成之外罩來取代程序室-外罩1 1 3以形 成第3圖之型式IIne之程序模組。 以下將說明以第1至5圖之程序模組來進行之方法。 型式I 在遵守品質需求下,就像其在各元件上塗佈磊晶層時 一樣,利用該程序模組來進行電漿促進之反應式塗層或 蝕刻或使工件上之材料成份改變直至一預定之深度爲止 ,或與上述本發明之方法相組合,使工件或元件之表面 受到一種電漿促進之反應式淨化(特別是在氫電漿中進行) 。型式I之程序模組進行一預定數目之上述之處理步驟 -23- 573050 五、發明說明(22 ) 之後,需要時須進行一種自我淨化而不必使工件導入其 中或不必使用基板載體。自我淨化過程一方面包含電漿 促進之反應式蝕刻,另一方面包含隨後之蝕刻殘餘物之 淨化步驟,這較佳是在氫電漿中進行。 沏式π 其用來較深地使工件被淨化,若由於周圍大氣而需要 符合上述磊晶品質需求之處理步驟時,則需要此種程序 模組。在型式II之程序模組中組合各符合上述最高品質 需求之處理過程,利用上述之低能量電漿進行電漿促進 之反應式淨化(較佳是在氫電漿中進行),以使各元件淨 化。 較佳之塗層方法是以型式I之模組來施加異質-或均 勻之磊晶層,這可廣泛地參考上述WO/98/58099。 第7圖中顯示型式I及II之程序模組140。在連續操 作時,待處理之各元件142依序傳送至程序模組140或 處理完後由此模組中送出。在第7圖中所示之時間軸t 上,在各元件1 42上以斜線區顯示本發明之塗層—及/ 或蝕刻-及/或材料改變-及/或淨化步驟,其是在需 要時或一預定次數之處理步驟之後由連續操作時所送來 之模組1 4 0之未劃斜線之自我淨化步驟來達成。 第8圖中顯示真空大氣中一種設備1 4 4 (例如,直線配 置之設備),工件首先在型式Π之程序模組中被淨化, 然後在程序模組I中塗層,蝕刻,改變材料成份且需要 時亦進行淨化步驟。此處之程序模組類似於第7圖在預 定數目之處理週期之後進行一種自我淨化。 -24- 573050 五、發明說明(23) 如上所述,較佳之程序是用來製成實際基板。在型式 π之程序模組中此基板適用於隨後之異質磊晶層之生長 ,電漿促進之反應式淨化,其是使用鹵素(較佳是氫)作 爲反應氣體。然後在一或多個型式I之程序模組中生長 異質磊晶層,使晶格常數改變且藉由連續式逐級地構成 其它材料而形成一種儘可能無缺陷之表面結構。然後又 在另一型式I之模組中生長可使用之半導體層,預設機 械上之拉力以調整能帶間隙且調整所期望之半導體特性 (例如,電荷載體移動率)。接著進行本發明之其它處理 步驟,直至由設備144中取出已製成之實際基板爲止。 此行之專家通常已知:在製成實際基板時可設置其它 層或在塗層步驟之間進行淨化步驟,在型式I之程序模 組中稱爲”柔和之淨化步驟”。 第8圖中顯示”直線式”設備,工作在真空中由一模組 輸送至另一模組。 第9圖中顯示多個型式I及Π之程序模組之配置,其 串集成串集(Cluster)設備。其包含圓形之真空輸送室 1 5 0,其在徑向中操縱此程序模組。未加工之基板由隔 離室〗5 2中取出且由隔離室]5 2中送出已加工之基板, 基板例如在隔離室1 5 2中冷卻。以一種處於正常大氣中 之紅色上方單元154使基板進/出於輸入/輸出用之隔 離室1 5 2,未加工之基板來自貯存室]5 6,已加工完成 之基板則送入貯存室1 5 8中。藉由程式控制器來控制其 時間上之流程,其例如可肉由地程式化。 上述之各程序模組(其都可互相轉換)可處理直徑至少 -25- 573050 五、發明說明(24 ) 是150nm(較佳是至少2 0 0 nm,甚至可爲至少3 0 0 nm)之 基板。在以W09 8/5 8 0 99 (其在本發明之方法中作爲附件 4)中所述之方法來進行磊晶塗層時,在上述之基板上之 塗層速率至少可達60nm/分鐘。 參考符號之說明 1.....室壁 3.....真空承受器 5.....基板載體 7.....輸送線 9.....槽配置 11.....泵端 13 .....真空泵 14 .....程序室壁 1 5 a.....表面 15b.....程序室外罩 1 〇 1 . . · · ·承受器壁 103.....正面板 105.....電子源 107.....熱離子化之陰極 109.....陰極室 111.....光圈 113.....程序室外罩 1 1 5.....泵端 1 1 7a? 1 1 7b.....陽極 A.....光軸 -26- 573050 五、發明說明(25) PR.....程序室ZW.....中間空間119.....晶圓支件121.....驅動器 123.....接收口 1 2 5 · · . ·.邊緣區1 2 6.....支件 129.....縫隙閥 131.....終端板 13 3.....H e 1 m h ο 11 z 線圈 13 5.....j又向線_137.....反應氣體入口140.....程序模組 142.....元件 144.....設備150.....圓形之真空輸送室 152.....隔離室154.....紅色上方單元 1 5 6,1 5 8 .....貯存室 -27-Hch SiH3CH3, GeH3CH3, N2, C1F3, -22- 573050 5. Description of the invention (21) The compounds are formed in the form of gas. This keeps the components 120 from being contaminated. The interference coating on the inner surface of the process chamber-housing 113 is important only when particles are formed. A thin interference layer is even better to ensure better purity of the process, and the process room is actually surrounded only by the inherent material of the process. In the program module of type I, the vacuum chamber wall is usually made of stainless steel and is not coated, because it is protected by the program chamber-cover 113 from contact with the reaction gas and plasma. In addition, as shown in Fig. 5, the strong cooling can greatly reduce the vapor deposition there, which is suitable for the inner surface of the outer cover 1 1 3 of the process chamber, but also suitable for the substrate support 1 1 9 of the surface to withstand this process. The program room-housing 113 is preferably composed of a plurality of parts (not shown), so that it can be removed or replaced without removing the anode configuration 117a, 117b. By removing the program room-cover 113 shown in FIG. 5, a preferred implementation form of the program module of the type IIne can be formed, or the same type of metal cover can be used to replace the program room- cover 1 1 3 to form the program module of the type IIne in FIG. 3. The following will describe the method using the program modules of Figs. 1 to 5. Type I In compliance with quality requirements, just like when it coats epitaxial layers on various components, the program module is used to perform plasma-assisted reactive coating or etching or to change the material composition on the workpiece until a Up to a predetermined depth, or in combination with the method of the invention described above, the surface of the workpiece or component is subjected to a reactive plasma purification (especially in a hydrogen plasma). The program module of type I performs a predetermined number of the above-mentioned processing steps -23- 573050 5. After the description of the invention (22), a self-cleaning must be performed when necessary without introducing the workpiece into it or using a substrate carrier. The self-cleaning process includes plasma-assisted reactive etching on the one hand and a subsequent purification step of the etching residue on the other, which is preferably performed in a hydrogen plasma. The brewing type π is used to deepen the workpiece to be purified. If the processing steps that meet the above-mentioned epitaxial quality requirements are needed due to the surrounding atmosphere, this program module is required. In the type II program module, each processing process that meets the above-mentioned highest quality requirements is combined, and the above-mentioned low-energy plasma is used for plasma-assisted reactive purification (preferably in a hydrogen plasma) to make each component Purification. A preferred coating method is to apply a heterogeneous or homogeneous epitaxial layer using a module of type I, which can be referred to the aforementioned WO / 98/58099 widely. FIG. 7 shows the program modules 140 of the types I and II. During continuous operation, the components 142 to be processed are sequentially transferred to the program module 140 or sent out from the module after processing. On the time axis t shown in FIG. 7, the coating-and / or etching- and / or material-changing- and / or decontamination steps of the present invention are shown in diagonal lines on each element 1 42, as needed. Time or after a predetermined number of processing steps is achieved by the un-slashed self-purification step of the module 140 which is sent during continuous operation. Figure 8 shows a piece of equipment 1 4 4 in a vacuum atmosphere (for example, a linearly arranged device). The workpiece is first cleaned in the program module of type Π, and then coated, etched, and the material composition is changed in program module I. A purification step is also performed when necessary. The program module here is similar to Figure 7 after a predetermined number of processing cycles to perform a self-purification. -24- 573050 5. Description of the Invention (23) As mentioned above, the preferred procedure is to make the actual substrate. In the program module of type π, this substrate is suitable for subsequent growth of heteroepitaxial layers, and plasma-assisted reactive purification, which uses halogen (preferably hydrogen) as the reaction gas. Heterogeneous epitaxial layers are then grown in one or more of the program modules of type I to change the lattice constant and form a surface structure that is as defect-free as possible by continuously forming other materials step by step. Then, a usable semiconductor layer is grown in another type I module, and the mechanical tension is preset to adjust the band gap and adjust the desired semiconductor characteristics (for example, the charge carrier mobility). Then, the other processing steps of the present invention are performed until the actual substrate that has been manufactured is taken out from the device 144. Experts in this field usually know that other layers can be provided when the actual substrate is made, or a purification step can be performed between the coating steps, which is called a "gentle purification step" in the process module of type I. Figure 8 shows a “straight-line” device that works in vacuum and is transported from one module to another. Figure 9 shows the configuration of multiple types of program modules of type I and Π, which are integrated with a cluster device. It contains a circular vacuum conveying chamber 150, which manipulates this program module in a radial direction. The unprocessed substrate is taken out from the isolation chamber 5 2 and the processed substrate is sent out from the isolation chamber 5 2. The substrate is cooled in the isolation chamber 1 5 2, for example. A red upper unit 154 in a normal atmosphere allows substrates to enter / exit the input / output isolation room 1 5 2 and unprocessed substrates from the storage room] 5 6 and processed substrates are sent to the storage room 1 5 8 in. The timing control is controlled by a program controller, which can, for example, be programmed programmatically. Each of the above program modules (all of which can be converted to each other) can handle diameters of at least -25- 573050. 5. Description of the invention (24) is 150nm (preferably at least 2000 nm, or even at least 300 nm). Substrate. When the epitaxial coating is performed by the method described in W09 8/5 8 0 99 (which is used as the attachment 4 in the method of the present invention), the coating rate on the above substrate can reach at least 60 nm / minute. Explanation of reference symbols 1 ..... room wall 3 ..... vacuum receiver 5 ..... substrate carrier 7 ..... conveyor line 9 ..... slot configuration 11 .... ... pump end 13 ..... vacuum pump 14 ..... program chamber wall 1 5 a ..... surface 15b ..... programmed outdoor cover 1 〇1... .... front panel 105 ..... electron source 107 ..... the cathode of thermal ionization 109 ..... cathode chamber 111 ..... aperture 113 ..... programmable cover 1 1 5 ..... pump end 1 1 7a? 1 1 7b ..... anode A ..... optical axis -26- 573050 5. Description of the invention (25) PR ..... program room ZW ..... Intermediate space 119 ..... Wafer support 121 ..... Driver 123 ..... Receiving port 1 2 5 · · · · .Edge area 1 2 6 ..... Support 129 ..... Slot valve 131 ..... Terminal plate 13 3 ..... H e 1 mh ο 11 z Coil 13 5 ..... j to the line _137 .... .Reactive gas inlet 140 ..... program module 142 ..... element 144 ..... equipment 150 ..... circular vacuum conveying chamber 152 ..... isolation chamber 154 .. ... Red upper unit 1 5 6, 1 5 8 ..... Storage room-27-

Claims (1)

573050 ___________ί jL· --^——---____ 六 請Τ 利範m573050 ___________ ί jL ·-^ ——---____ VI Please 利利 m 第91103124號「光電元件或微機械元件之製造方法及κ 空處理裝置」專利案 ( ) Α申請專利範圍: ―― 1· 一種光電元件或微機械元件之製造方法,這些元件 亦包含電子元件,光學元件或其所用之中間產品, 本方法藉由使用至少一種電漿促進之處理步驟來進 行,藉由低能之電漿放電(PL )以電漿放電中所存在 之元件之表面上之離子能量E(0 eV<E<15 eV)使 程序室(PR)中所引入之反應氣體或氣體混合物被活 性化(a c t i v a t ed ),其特徵爲:程序室(PR )在處理步 驟期間由位於環境中之真空承受器(1 )之內壁(1 5 ; 15a; 14; 15b)所隔開。 2. 如申請專利範圍第1項之製造方法,其中至少該電 漿促進之處理步驟是下述各步驟中之一: (a )對該元件進行塗層或 (b )使此元件之材料成份改變直至一預定之深度爲止, (c)對此元件之表面進行蝕刻。 3. 如申請專利範圍第1項之製造方法,其中在該至少 一電漿促進之處理步驟之前及/或之後進行另一種 電漿促進之淨化步驟,其是在~^含有氫,稀有氣體 或其混合物之電漿中進行。 4. 如申請專利範圍第1項之製造方法,其中局部性地 573050 六、申請 專利範圍 進 行上述之該至少一電漿促進之處理步 驟 , 使 該 元 件 淨化,其係使用電漿促進之元件淨化 步 驟 藉 由 低 能量之電漿放電(PL)以此元件之表面 上 之 離 子 能 量 E(0 eV<E€15 eV)使程序室(PR)中所 引 入 之反 應 氣 體或氣體混合物(其含有氫)被活性化 在 元 件 淨 化步驟期間該淨化用之程序氣體藉由金屬封罩( 15b) 而 與位於環境中之真空承受器(1)之內壁 相 隔 開 或 是 淨 化用之程序氣體直接以位於環境中之 真 空 承 受 器 (1 )之內壁爲邊界。 5.如 申請專利範圍第3或4項之製造方法 其 中 爲 了 產 生實際基板,則 i ) 一基板受到如申請專利範圍第3或4 項 中 所 述 之 淨化步驟,其是使用氫作爲反應氣體 y Π )以電漿促進之處理步驟生長一種異質 (h ί e t e r 〇 ) 磊 晶層; ϋ i )需要時以另一電漿促進之處理步驟 生 長 一 種 有 用之半導體層。 6.如 申請專利範圍第1項之製造方法,其 中 在 程 序 室 (PR)中使依時間順序出現之元件分別承 受 至 少 一 種 電 漿促進之處理步驟且在進行一預定數 之 電 漿 促 進 之處理步驟之後,在上述之程序室中 進 行 另 — 電 漿 促進之處理步驟,其由電漿促進之程 序 室 用 之 淨 化 步驟所構成而不必使元件導入或不需 -2- 基 板 載 體 ) 573050 六、申請專利範圍 其只包含鈾刻步驟,然後進行一種淨化步驟,其是 在一含有氫,稀有氣體或其混合物之電漿中進行。 7·如申請專利範圍第1 , 4或6項之製造方法,其中使 該元件局部性地各別承受至少二種電漿化學式處理 步驟,且使此元件在此二步驟之間輸送至真空中。 8·如申請專利範圍第7項之製造方法,其中輸送至真 空中至少以件之方式直線地進行,或是沿著圓形軌 道以線性移動之方式進行,其具有對圓形軌道是徑 向之移動成份。 9. 如申請專利範圍第1,4或6項之製造方法,其中程 序室之邊界之隔開是藉由新狀態中在化學上對電漿 所活性化之反應氣體或氣體混合物是鈍性之表面來 達成,或是藉由介電質表面或石墨表面來達成。 10. 如申請專利範圍第9項之製造方法,其中鈍性之表 面是隔離壁之表面,其沿著主要之面積區段而與真 空承受器之內壁相隔開(ZW )。 11. 如申請專利範圍第1 0項之製造方法,其中程序室(PR ) 及中間空間(ZW)在隔離壁及真空承受器之間以相同或 不同(1 3a,.1 3b,1 1 5 )之方式被泵抽(pumped)。 12·如申請專利範圍第9項之製造方法,其中該表面在 新狀態中由以下各材料中至少一種材料所製成: 石央’石墨’碳化砂,氮化砂,氧化銘,氧化銶 ,氮化钽,氧化妮,氧化锆或這些材料之層之組合 573050 六、申請專利範圍 ,類似鑽石之碳或鑽石。 13.如申請專利範圍第1,4或6項之製造方法 苴 中 在 隔離壁中設有該元件(120)用之供應口( 123) 且 此 供 應口( 1 2 3 )在進行處理時以該元件及/ 或 以 該 元 件 (120)用之承載體(Π9)來封閉。 14.如申請專利範圍第1,4或6項之製造方法 其 中 電 漿放電以一種電子源(105)來達成,電 子 能 量 是 100eV,特別是藉由DC放電來達成。 15·如申請專利範圍第1,4或6項之製造方 法 > 其 中 電 漿放電以一種電子源(105)來達成,電 子 能 量 是 5 0 eV,特別是藉由DC放電來達成。 16.如申請專利範圍第1 4項之製造方法,其 中 電 漿 放 電 藉由熱離子化之陰極(107)來達成,或是 以 直 接 加 熱 之熱離子化之陰極來達成。 17.如申請專利範圍第1,4或6項之製造方 法 其 中 在 程序室中設有至少二個位置偏移之可分 別 加 熱 之 陽 極(1 1 7 a,1 1 7 b )以進行電漿放電,其電 性 可 各 別 操 控,且藉由各陽極上所施加之電位及/ 或 溫 度 之 控 制可動態地或靜態地調整或控制該程序 室 中 之 電 漿 密度分佈(V )。 18.如申請專利範圍第1,4或6項之製造方 法 其 中 在 程序室(PR)中產生(133,135)磁場(H)且 藉 由 此 磁 場 靜態地及/或動態地調整或控制該元件 -4 - 表 面 上 之 電 573050 六、申請專利範圍 漿密度分佈(v)。 19·如申請專利範圍第1,4或6項之製造方法,其中在 程序室(PR )中產生(1 3 3,1 3 5 )磁場(Η )且至少以磁場 局部性振盪之方式來進行調整或控制該元件表面上 之電漿密度分佈(V )。 20·如申請專利範圍第1項之製造方法,其中導入該反 應氣體而分佈在程序氣體中 。 21·如申請專利範圍第1項之製造方法,其中使反應氣 體流入方向平行於元件(120)表面,或是利用這些與 元件表面等距離之噴入位置來進行。 22. 如申請專利範圍第1 , 4或6項之製造方法,其中就 至少一電漿促進之處理步驟而言程序室(PR)中之氣 體之分壓(除了稀有工作氣體及反應氣體或其氣體形 式之反應產物以外)最高保持在10_8mbar (UHV)。 23. 如申請專利範圍第1,4或6項之製造方法,其中就 至少一電漿促進之處理步驟而言程序室(PR )中之氣 體之分壓(除了稀有工作氣體及反應氣體或其氣體形 式之反應產物以外)保持在l(T9mbar。 24. 如申請專利範圍第1 , 4或6項之製造方法,其中至 少一電漿促進之處理步驟是沈積一均勻-或異質之 嘉晶層。 25. 如申請專利範圍第24項之製造方法,其中該均勻一 或異質之磊晶層是以矽/鍺層沈積而成。 573050 六、申請專利範圍 26如申請專利範圍第丨,2,4或6項之製造方法,其中 該元件是圓板形式之元件(1 20 )。 27·如申請專利範圍第丨,2 , 4或6項之製造方法,其中 受到該處理過程之元件是矽晶圓或由化合物半導體 所構成之晶圓。 28·如申請專利範圍第1,2,4或6項之製造方法,其中 受到該處理過程之元件是由砷化鎵或磷化銦或碳化 矽或玻璃所構成之晶圓。 29·如申請專利範圍第1或2項之製造方法,其中以下 列各材料中至少一種來沈積一種層: 石夕,砂一鍺一化合物’砂一鍺一碳一化合物,鑽 石’類似鑽石之化合物’碳化砂’氣化砂,氧化銘 ,氧化矽,氮化鎵,砷化鎵,鋁,銅,磷化銦,立 方形之氮化硼。 30. 如申請專利範圍第1或2項之製造方法,其中本方 法用來製成實際基板,其含有矽-鍺。 31. 如申請專利範圍第1或2項之製造方法,其中本方 法用來處理各元件,其表面須同時處理且直徑至少 是 1 50mm 〇 32如申請專利範圍第1或2項之製造方法,其中本方 法用來處理各元件,其表面須同時處理且直徑是至 少200mm,甚至可爲300mm。 33.如申請專利範圍第1 , 2,4或6項之製造方法,其中 573050 六、申請專利範圍 電漿促進之處理中對此元件之塗層速率至少是 6 0 n m / 分鐘。 34.—種實際基板或其上所形成之元件之製造方法,該 元件以矽-鍺爲主,本方法含有至少一種淨化步驟 ,其特徵爲:此淨化步驟以電漿促進之方式來進行 ’其中待淨化之基板受到程序室中所引入之反應氣 體或氣體混合物所作用,藉由元件之表面上以離子 能量E(0 eV<ES15 eV)所進行之低能量電漿放電使 該反應氣體被活性化。 35 —種真空處理系統,特別是用來進行如申請專利範 圍第1至3 4項中任一項之方法,此系統包含·· 一至少一真空室(1 ), 一至少一種工件載體(5), 一一種電漿產生配置,用來在真空室(1)中產生電漿, 一真空室(1 )中一種與氣體槽(其具有至少一種反應 氣體或氣體混合物)相連之氣體入口配置(7 ), 其特徵爲:真空室(1)中設有程序室(PR),工件 載體(5 )裸露於加工位置中,此程序室(PR )中產生 電漿(PL)且氣體入口配置在作用上是與電漿相連 :程序室-內壁表面在新狀態中是由一種對電漿 所活化之反應氣體或氣體混合物成鈍性之材料U 5 ,15a,113)所構成,或是由介電質材料或石墨材 料所構成。 573050 六、申請專利範圍 36. 如申請專利範圍第3 5項之真空處理系統,其中真空 室(1 )中設有程序室(PR ),工件載體(1 5 )裸露於加工 位置中,此程序室(PR )中產生電漿(PL )且氣體入口 配置(7 )在作用上是與電漿相連,此程序室(PR )由沿 著真空室壁之主要面積區段向內設定之外罩(14, 15b)所形成。 37. —種真空處理系統,其特徵是申請專利範圍第3 5及 36項之特徵之組合。 38·如申請專利範圍第3 5,3 6或3 7項之真空處理系統 ’其中在新狀態時程序室之內面(1 5,1 5a,1 1 3 )至 少在主要之區段上是由下述各材料中至少一種所構 成: 石英’石墨,碳化矽,氮化矽,氧化鋁,氧化鈦 ’氮化鉅,氧化鈮,氧化鉻或這些材料之層之組合 ’類似鑽石之碳或鑽石。 39. 如申請專利範圍第3 5至3 7項中任一項之真空處理系 統,其中程序室壁(1 4,丨5 b,丨丨3 )相對於真空室壁 (1 )而固定且可更換。 40. 如申請專利範圍第3 5至3 7項中任一項之真空處理 系統’其中電漿產生配置用來產生低能量之電漿放 電’工件載體(5)之區域中離子能量e是0 eV<ES 1 5 eV ° 41. 如申請專利範圍第40項之真空處理系統,其中電漿 573050 六、申請專利範圍 產生配置包含一種電子源(105),其電子能量SlOOeV ,亦可以是S 5 0 e V,此種配置是DC —低壓一電漿產 生配置,特別是具有熱離子化之陰極(1 07 ),其特別 是直接加熱之熱離子化之陰極。 42如申請專利範圍第35至37項中任一項之真空處理系 統,其中真空室(1 )中設置一種陰極室(109)且在電 性上互相隔離,此陰極室經由光圈(111 )而與真空室 (1 )相連通。 43. 如申請專利範圍第42項之真空處理系統,其中光圈 (1 1 1 )之軸(A)切割此工件載體(1 1 9 )之工件接收面 (1 1 9a ),其可垂直地切割且在中央切割。 44. 如申請專利範圍第3 6項之真空處理系統,其中程序 室壁(15b)之材料由金屬所構成,其可由鉬或 I n k ο n e 1 1所構成。 45如申請專利範圍第35至37項中任一項之真空處理系 統,其中程序室(PR)中設置至少二個位置偏移之陽 極(1 17a,1 17b),其可處於不同之電位處且可分別 被加熱。 46如申請專利範圍第42項之真空處理系統,其中在程 序室中沿著光軸(A)在其縱向中偏移地設置至少二個 與此軸(A)共軸之陽極(117a,117b),各陽極可處於 不同之電位處且可分別被加熱。 47如申請專利範圍第3 5至3 7項中任一項之真空處理系 573050 六、申請專利範圍 統,其中真空室壁(1 〇 1 )在主要之面積區段中以雙壁 構成且中間空間是與退火介質端相連,亦可與退火 流體用之終端相連。 48如申請專利範圍第3 5至3 7項中任一項之真空處理系 統,其中設有磁場產生配置(133,135),其可受控 制且在程序室(PR)中產生一種磁場且包含該設在真 空室外部之He Imho 1 tz —線圈(133)。 49如申請專利範圍第35至37項中任一項之真空處理系 統,其中此程序室(PR )沿著主要之面積區段而與真 空室璧(1 )相隔開,程序室(PR )之內部及所形成之中 間空間經由相同或不同之泵橫切面而與共同之泵端 在作用上相連,或程序室及中間空間分別設有至少 ,一個泵端。 50.如申請專利範圍第35至37項中任一項之真空處理系 統,其中此工件載體(1 1 9 )相對於程序室(PR )上之開 口( 1 2 3 )以直線方式在開口面之法線方向中受驅動 (1 2 1 )而移動且在對該程序室(PR )高運行之位置中使 程序室內部空間被封閉。 51如申請專利範圍第3 5至3 7項中任一項之真空處理系 統,其中工件載體(1 19)在作用上是與退火元件(127) 相連。 52如申請專利範圍第35至37項中任一項之真空處理系 統,其中真空室(1 )具有至少一可密封之工件供應口 -10- 573050 六、申請專利範圍 (129卜 53·如申請專利範圍第35至37項中任一項之真空處理系 統,其中真空室(1)具有一可控制其封閉之工件供應 口( 1 29 ),設有至少二個真空室,其供應口經由真空 -工件輸送配置而相連。 54如申請專利範圍第53項之真空處理系統,其中真空-工件輸送配置是一種直線式輸送配置。 55. 如申請專利範圍第53項之真空處理系統,其中真空 -工件輸送配置是一種旋轉式輸送配置(1 5 0 )。 56. 如申請專利範圍第53項之真空處理系統,其中程序 室(PR)在所設置之各真空室(1)之一之中藉由真空室 (1)之金屬內面爲邊界且具有電漿產生配置以產生電 漿,另有與一種槽配置(其包含至少一種反應氣體) 相連之氣體入口配置。 1Patent No. 91103124 "Method for Manufacturing Photoelectric Elements or Micromechanical Elements and κ Air Processing Device" (A) Patent Application Scope:-1 · A method for manufacturing photovoltaic elements or micromechanical elements, these elements also include electronic components, The optical element or the intermediate product used therefor, the method is performed by using at least one plasma-promoted processing step, and low-energy plasma discharge (PL) is used to ionic energy on the surface of the element existing in the plasma discharge E (0 eV < E < 15 eV) activates the reactive gas or gas mixture introduced in the process chamber (PR), which is characterized in that the process chamber (PR) is located in the environment during the processing step The vacuum receiver (1) is separated by the inner wall (1 5; 15a; 14; 15b). 2. For the manufacturing method according to the scope of patent application, at least the plasma-promoted processing step is one of the following steps: (a) coating the component or (b) making the component's material composition Changing up to a predetermined depth, (c) etching the surface of the element. 3. The manufacturing method according to item 1 of the patent application scope, wherein another plasma-promoted purification step is performed before and / or after the at least one plasma-promoted treatment step, which contains hydrogen, a rare gas or The mixture is performed in a plasma. 4. The manufacturing method according to item 1 of the scope of patent application, which is partially 573050 6. The scope of the patent application is to perform the above-mentioned at least one plasma-promoted processing step to purify the component, which is to purify the component using plasma-promoted The step is to use a low-energy plasma discharge (PL) to make the reaction gas or gas mixture (which contains hydrogen) introduced into the program chamber (PR) into the ionization energy E (0 eV < E € 15 eV) on the surface of the element. ) The process gas used for purification during the component purification step is separated from the inner wall of the vacuum receiver (1) located in the environment by a metal cover (15b) or the process gas used for purification is directly located at The inner wall of the vacuum receiver (1) in the environment is the boundary. 5. The manufacturing method according to item 3 or 4 of the scope of patent application, wherein in order to produce an actual substrate, i) a substrate is subjected to a purification step as described in item 3 or 4 of the scope of patent application, which uses hydrogen as a reaction gas y Π) growing a heterogeneous (h eter) epitaxial layer with a plasma-promoted processing step; ϋ i) growing a useful semiconductor layer with another plasma-promoted processing step when needed. 6. The manufacturing method according to item 1 of the scope of patent application, wherein the components appearing in chronological order in the program room (PR) are respectively subjected to at least one plasma-promoted processing step and a predetermined number of plasma-promoted processing is performed. After the steps, perform another-plasma-promoted processing step in the above-mentioned program room, which consists of the purification steps used in the plasma-promoted program room without introducing components or requiring a -2- substrate carrier) 573050 6. The scope of the patent application includes only the uranium etching step, and then a purification step is performed in a plasma containing hydrogen, a rare gas or a mixture thereof. 7. The manufacturing method according to claim 1, 4, or 6, wherein the element is locally subjected to at least two plasma chemical treatment steps, and the element is conveyed to a vacuum between the two steps. . 8. The manufacturing method according to item 7 of the scope of patent application, wherein the conveying into the vacuum is performed at least linearly in a piece manner, or linearly along a circular track, which has a radial direction to the circular track Of moving ingredients. 9. For the manufacturing method of claim 1, 4, or 6, wherein the boundary of the program room is separated by a reactive gas or gas mixture that is chemically activated by the plasma in the new state, it is inactive. The surface is achieved, or it is achieved by a dielectric surface or a graphite surface. 10. The manufacturing method according to item 9 of the scope of patent application, wherein the blunt surface is the surface of the partition wall, which is separated from the inner wall of the vacuum receptacle (ZW) along the main area section. 11. For the manufacturing method of item 10 in the scope of patent application, wherein the procedure room (PR) and the intermediate space (ZW) are the same or different between the partition wall and the vacuum receiver (1 3a, .1 3b, 1 1 5 ) Way is pumped. 12. The manufacturing method according to item 9 of the scope of patent application, wherein the surface is made of at least one of the following materials in the new state: Shiyang'graphite'carbonized sand, nitrided sand, oxide oxide, hafnium oxide, Tantalum nitride, niobium oxide, zirconium oxide or a combination of these materials 573050 6. The scope of patent application, similar to diamond carbon or diamond. 13. According to the manufacturing method of claim 1, 4, or 6, the supply port (123) for the element (120) is provided in the partition wall, and the supply port (1 2 3) is used for processing. The element and / or the carrier (Π9) used for the element (120) is closed. 14. The manufacturing method according to the scope of claims 1, 4, or 6, wherein the plasma discharge is achieved by an electron source (105), and the electron energy is 100eV, especially by DC discharge. 15. The manufacturing method according to item 1, 4 or 6 of the scope of patent application > wherein the plasma discharge is achieved by an electron source (105), and the electron energy is 50 eV, especially by DC discharge. 16. The manufacturing method according to item 14 of the scope of patent application, wherein the plasma discharge is achieved by a thermally ionized cathode (107), or by a directly heated thermally ionized cathode. 17. The manufacturing method according to the scope of claims 1, 4, or 6, wherein at least two separately heated anodes (1 1 7 a, 1 1 7 b) which are offset in position are provided in the program room for plasma processing. The electrical properties of the discharge can be controlled individually, and the plasma density distribution (V) in the program room can be adjusted or controlled dynamically or statically by controlling the potential and / or temperature applied on each anode. 18. The manufacturing method according to claim 1, 4, or 6, wherein a (133, 135) magnetic field (H) is generated in the program room (PR), and the magnetic field is used to statically and / or dynamically adjust or control the magnetic field (H). Element-4-Electricity on the surface 573050 6. Patent application scope Pulp density distribution (v). 19. The manufacturing method according to claim 1, 4, or 6, wherein a (1 3 3, 1 3 5) magnetic field (Η) is generated in the program room (PR) and at least the magnetic field is oscillated locally. Adjust or control the plasma density distribution (V) on the surface of the element. 20. The manufacturing method according to item 1 of the scope of patent application, wherein the reaction gas is introduced and distributed in the process gas. 21. The manufacturing method according to item 1 of the scope of patent application, wherein the inflow direction of the reaction gas is parallel to the surface of the element (120), or these injection positions are equidistant from the surface of the element. 22. For the manufacturing method of claim 1, 4 or 6, the partial pressure of the gas in the process room (PR) for at least one plasma-promoted processing step (except for rare working gases and reaction gases or their The gaseous form (other than the reaction products) is maintained at a maximum of 10-8 mbar (UHV). 23. The manufacturing method of claim 1, 4, or 6, in which the partial pressure of the gas in the process chamber (PR) (except for rare working gases and reaction gases or The reaction product in the form of a gas is kept at l (T9mbar. 24. As in the manufacturing method of claim 1, 4 or 6, the at least one plasma-promoted processing step is to deposit a homogeneous or heterogeneous Jiajing layer 25. For example, the manufacturing method of the scope of patent application No. 24, wherein the uniform or heterogeneous epitaxial layer is deposited by a silicon / germanium layer. 573050 6. Application scope of patent 26, such as the scope of patent application No. 丨 2, The manufacturing method according to item 4 or 6, wherein the component is a component in the form of a circular plate (1 20). 27. The manufacturing method according to the scope of the patent application No. 丨, 2, 4, or 6, wherein the component subjected to the process is silicon Wafers or wafers made of compound semiconductors. 28. For the manufacturing method in the scope of patent application No. 1, 2, 4, or 6, wherein the component subjected to the process is made of gallium arsenide or indium phosphide or silicon carbide Crystals made of glass 29. The manufacturing method according to item 1 or 2 of the patent application scope, wherein at least one of the following materials is used to deposit a layer: Shi Xi, sand-germanium-compound 'sand-germanium-carbon-compound, diamond' is similar to diamond The compound 'carbonized sand' gasified sand, oxide oxide, silicon oxide, gallium nitride, gallium arsenide, aluminum, copper, indium phosphide, cubic boron nitride. 30. If the scope of patent application is 1 or 2 The manufacturing method, wherein the method is used to make an actual substrate, which contains silicon-germanium. 31. For the manufacturing method of the scope of patent application item 1 or 2, wherein the method is used to process each component, the surface must be processed at the same time and The diameter is at least 150mm. 032 The manufacturing method according to item 1 or 2 of the patent application scope, in which the method is used to treat each component, the surface of which must be treated at the same time and the diameter is at least 200mm, and even 300mm. 33. If applying for a patent The manufacturing method of the range 1, 2, 4, or 6, among which 573050 VI. Patent application scope The plasma coating promotes the component to be coated at a rate of at least 60 nm / minute. 34. An actual substrate or its Shaped above A method for manufacturing a component, which is mainly composed of silicon-germanium. The method includes at least one purification step, which is characterized in that the purification step is performed in a plasma-promoted manner, wherein the substrate to be purified is introduced into the program room. The reaction gas or gas mixture acts to activate the reaction gas through a low-energy plasma discharge with ion energy E (0 eV < ES15 eV) on the surface of the element. 35-A vacuum processing system, especially It is used to carry out the method according to any one of claims 1 to 34 in the scope of patent application. This system includes ... at least one vacuum chamber (1), at least one workpiece carrier (5), and one plasma generation A configuration for generating plasma in the vacuum chamber (1), a gas inlet configuration (7) in a vacuum chamber (1) connected to a gas tank (which has at least one reaction gas or gas mixture), which is characterized by: The vacuum chamber (1) is provided with a program chamber (PR), the workpiece carrier (5) is exposed in the processing position, a plasma (PL) is generated in the program chamber (PR), and the gas inlet is configured to be connected to the plasma in effect. : Program Room- In the new state, the inner wall surface is composed of a material U 5, 15a, 113) which is passive to the reactive gas or gas mixture activated by the plasma, or is composed of a dielectric material or a graphite material. 573050 VI. Application for patent scope 36. If the vacuum treatment system of the patent application scope item No. 35, the vacuum chamber (1) is provided with a program room (PR), and the workpiece carrier (1 5) is exposed in the processing position, this procedure The plasma (PL) is generated in the chamber (PR) and the gas inlet configuration (7) is functionally connected to the plasma. This program chamber (PR) is set inward by a section along the main area of the vacuum chamber wall ( 14, 15b). 37. A vacuum processing system, which is characterized by a combination of the features of the 35th and 36th patent applications. 38. If the vacuum processing system of the scope of patent application No. 35, 36 or 37 is used, the inner surface of the program room (15, 15a, 1 1 3) is at least in the main section in the new state. Consists of at least one of the following materials: Quartz 'graphite, silicon carbide, silicon nitride, aluminum oxide, titanium oxide' nitride, niobium oxide, chromium oxide or a combination of these materials' similar to diamond carbon or diamond. 39. The vacuum processing system according to any one of claims 35 to 37, wherein the procedure chamber wall (1, 4, 5b, 丨 3) is fixed relative to the vacuum chamber wall (1) and can be replace. 40. The vacuum processing system according to any one of claims 35 to 37 in the scope of the patent application, wherein the plasma energy e is 0 in the region of the workpiece carrier (5) where the plasma generation is configured to generate a low-energy plasma discharge. eV &ES; ES 1 5 eV ° 41. For example, the vacuum processing system in the scope of patent application No. 40, in which the plasma 573050 6. The patent application scope generation configuration includes an electron source (105), the electronic energy of which is S100eV, or S 5 0 e V, this configuration is a DC-low voltage-plasma generation configuration, especially with a thermally ionized cathode (1 07), especially a directly heated thermally ionized cathode. 42. The vacuum processing system according to any one of claims 35 to 37, wherein a vacuum chamber (1) is provided with a cathode chamber (109) and is electrically isolated from each other, and the cathode chamber is connected via an aperture (111). It is in communication with the vacuum chamber (1). 43. For example, the vacuum processing system according to the scope of patent application No. 42, wherein the axis (A) of the aperture (1 1 1) cuts the workpiece receiving surface (1 1 9a) of the workpiece carrier (1 1 9), which can cut vertically And cut in the center. 44. For example, the vacuum processing system of item 36 of the patent application scope, wherein the material of the process chamber wall (15b) is composed of metal, which can be composed of molybdenum or I n k ο n e 1 1. 45. The vacuum processing system according to any one of claims 35 to 37, wherein at least two offset anodes (1 17a, 1 17b) are arranged in the program room (PR), which can be at different potentials. And can be heated separately. 46. The vacuum processing system according to item 42 of the scope of patent application, wherein at least two anodes (117a, 117b) coaxial with the axis (A) are provided in the process chamber offset along the optical axis (A) in the longitudinal direction. ), Each anode can be at a different potential and can be heated separately. 47 For example, the vacuum processing system of any of the items 35 to 37 of the scope of patent application 573050 6. The scope of the patent application system, in which the vacuum chamber wall (100) is composed of double walls in the main area section and the middle The space is connected to the end of the annealing medium, and it can also be connected to the end of the annealing fluid. 48 The vacuum processing system according to any one of the claims 35 to 37, which is provided with a magnetic field generating configuration (133, 135) which can be controlled and generates a magnetic field in the program room (PR) and contains The He Imho 1 tz-coil (133) provided outside the vacuum chamber. 49. The vacuum processing system according to any one of claims 35 to 37, wherein the procedure room (PR) is separated from the vacuum chamber 璧 (1) along the main area section, and the procedure room (PR) The interior and the formed intermediate space are functionally connected to a common pump end through the same or different pump cross sections, or the program room and the intermediate space are provided with at least one pump end, respectively. 50. The vacuum processing system according to any one of claims 35 to 37, wherein the workpiece carrier (1 1 9) is linearly formed on the opening surface with respect to the opening (1 2 3) on the procedure room (PR). Driven in the normal direction (1 2 1), it moves and causes the interior space of the program room to be closed in a position where the program room (PR) is operated at a high level. 51. The vacuum processing system according to any one of claims 35 to 37, wherein the workpiece carrier (1 19) is functionally connected to the annealing element (127). 52. The vacuum processing system according to any one of the items 35 to 37 of the scope of patent application, wherein the vacuum chamber (1) has at least one sealable work supply port-10- 573050 The vacuum processing system according to any one of the patent scope 35 to 37, wherein the vacuum chamber (1) has a workpiece supply port (129) which can be controlled to be closed, and at least two vacuum chambers are provided, and the supply port is connected via a vacuum -The workpiece conveying configuration is connected. 54 The vacuum processing system such as the scope of the patent application No. 53 in which the vacuum-workpiece conveying configuration is a linear conveying configuration. 55. The vacuum processing system such as the scope of the patent application No. 53 in which the vacuum- The workpiece conveying configuration is a rotary conveying configuration (150). 56. For example, the vacuum processing system of the 53rd scope of the patent application, wherein the program room (PR) is borrowed from one of the vacuum chambers (1) provided. The vacuum chamber (1) has a metal inner surface as a boundary and has a plasma generation configuration to generate a plasma, and a gas inlet configuration connected to a tank configuration (which contains at least one reaction gas). 1
TW91103124A 2001-02-26 2002-02-22 Method to manufacture parts and vacuum-processing system TW573050B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CH3582001 2001-02-26

Publications (1)

Publication Number Publication Date
TW573050B true TW573050B (en) 2004-01-21

Family

ID=4511818

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91103124A TW573050B (en) 2001-02-26 2002-02-22 Method to manufacture parts and vacuum-processing system

Country Status (6)

Country Link
EP (1) EP1366208A1 (en)
JP (1) JP2004519108A (en)
KR (1) KR20030090650A (en)
CN (1) CN1494604A (en)
TW (1) TW573050B (en)
WO (1) WO2002068710A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI386968B (en) * 2007-11-21 2013-02-21 Dms Co Ltd A plasma chemical reactor

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE546824T1 (en) * 2004-06-08 2012-03-15 Dichroic Cell S R L SYSTEM FOR PLASMA-ASSISTED CHEMICAL VAPOR DEPOSION AT LOW ENERGY
US20080164144A1 (en) * 2005-03-07 2008-07-10 Katsushi Kishimoto Plasma Processing Apparatus And Method Of Producing Semiconductor Thin Film Using The Same
KR101038843B1 (en) * 2005-10-05 2011-06-03 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for epitaxial film formation
DE102011088099A1 (en) * 2011-12-09 2013-06-13 Von Ardenne Anlagentechnik Gmbh Vacuum chamber for use during manufacture of substrate, has inner layer which is secured to wall of metallic chamber housing
CN104421437B (en) * 2013-08-20 2017-10-17 中微半导体设备(上海)有限公司 Movable valve, portable shielding door and vacuum flush system
CN107601480B (en) * 2017-10-20 2023-07-25 南通富莱克流体装备有限公司 Graphene production equipment
CN108990245B (en) * 2018-06-04 2021-01-12 台州学院 Small-size adjustable plasma source in area
DE102022102768A1 (en) 2022-02-07 2023-08-10 Stephan Wege Symmetrical process reactor

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786616A (en) * 1987-06-12 1988-11-22 American Telephone And Telegraph Company Method for heteroepitaxial growth using multiple MBE chambers
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
US6217715B1 (en) * 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
EP1424405B1 (en) * 1997-06-13 2007-01-03 Oerlikon Trading AG, Trübbach Method and apparatus for fabricating coated substrates
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI386968B (en) * 2007-11-21 2013-02-21 Dms Co Ltd A plasma chemical reactor

Also Published As

Publication number Publication date
EP1366208A1 (en) 2003-12-03
JP2004519108A (en) 2004-06-24
KR20030090650A (en) 2003-11-28
WO2002068710A1 (en) 2002-09-06
CN1494604A (en) 2004-05-05

Similar Documents

Publication Publication Date Title
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US6143128A (en) Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
CN101765900B (en) Method and apparatus for cleaning a substrate surface
CN112626493A (en) Reactor system and method of using the same
US5861086A (en) Method and apparatus for sputter etch conditioning a ceramic body
KR101440864B1 (en) Erosion resistance enhanced quartz used in plasma etch chamber
KR100408990B1 (en) Plasma processing apparatus
WO2017192249A1 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
TW200830942A (en) Contamination reducing liner for inductively coupled chamber
WO2014179087A1 (en) Cobalt removal for chamber clean or pre-clean process
TW562882B (en) Method to manufacture a coated substrate
TW201636453A (en) Pulsed plasma for film deposition
TW573050B (en) Method to manufacture parts and vacuum-processing system
KR20100009625A (en) Silicide forming method and system thereof
JP2021510932A (en) Addition of argon to oxidation by remote plasma
TW202027198A (en) A cluster processing system for forming a transition metal material
US20060118043A1 (en) Method for producing coated workpieces, uses and installation for the method
KR102195798B1 (en) Sputtering showerhead
KR101708935B1 (en) Surface processing method
JP2004186402A (en) Plasma processing apparatus and method therefor
JP2019516242A (en) Plasma enhanced annealing chamber for wafer outgassing
JP2000068227A (en) Method for processing surface and device thereof
JP2008283217A (en) Processing apparatus, and cleaning method thereof
JPH1022279A (en) Inductive coupled plasma cvd device
US11776793B2 (en) Plasma source with ceramic electrode plate

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees