KR20010030052A - 반도체 기판의 열 제어 방법 및 장치 - Google Patents

반도체 기판의 열 제어 방법 및 장치 Download PDF

Info

Publication number
KR20010030052A
KR20010030052A KR1020000045014A KR20000045014A KR20010030052A KR 20010030052 A KR20010030052 A KR 20010030052A KR 1020000045014 A KR1020000045014 A KR 1020000045014A KR 20000045014 A KR20000045014 A KR 20000045014A KR 20010030052 A KR20010030052 A KR 20010030052A
Authority
KR
South Korea
Prior art keywords
heat transfer
substrate support
wafer
section
transfer plate
Prior art date
Application number
KR1020000045014A
Other languages
English (en)
Other versions
KR100748372B1 (ko
Inventor
액-파이애드윈 목
디미트리 루보미스키
데니스 쿠사우
대니 왕
센 타치
폴 엑스라인
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010030052A publication Critical patent/KR20010030052A/ko
Application granted granted Critical
Publication of KR100748372B1 publication Critical patent/KR100748372B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 반도체 웨이퍼 프로세싱 장치, 특히 기판 지지체, 절연체와, 반도체 웨이퍼의 직경에 제어가능한 균일한 온도를 제공하기 위한 제 1 및 제 2 열 전달판을 가지는 반도체 기판 지지 페데스탈에 관한 것이다. 페데스탈에 놓여진 반도체 웨이퍼는 기판 지지체내에 내장된 하나 이상의 전극으로 웨이퍼를 가열하고 제 1 및 제 2 열 전달판을 통과하는 유체로 웨이퍼를 냉각함으로써 미리결정한 온도에서 균일하게 유지된다.

Description

반도체 기판의 열 제어 방법 및 장치{A METHOD AND APPARATUS FOR THERMAL CONTROL OF A SEMICONDUCTOR SUBSTRATE}
본 발명은 일반적으로 반도체 웨이퍼 프로세싱 장치, 특히, 반도체 웨이퍼의 직경에 제어가능한 균일한 온도 분포를 제공하기 위한 반도체 기판 지지 페데스탈에 관한 것이다.
반도체 웨이퍼 프로세싱에 있어서, 웨이퍼의 표면 온도는 중요한 프로세스 매개변수이다. 웨이퍼 프로세싱 동안 웨이퍼 표면에서의 온도의 변화와 증감은 재료 증착, 에칭속도, 피쳐 경사각, 스텝 적용범위(step coverage) 등에 해롭다. 프로세싱을 보강하고 바람직하지 않은 특성을 최소화하는데 웨이퍼 프로세싱 동안 온도 균일성을 제어하는 것이 필요불가결한 것이다.
이 기술 분야에 많은 장치가 프로세싱 동안 웨이퍼 온도를 제어하는데 사용되어왔다. 한 방법은 웨이퍼 프로세싱 동안 웨이퍼 지지 페데스탈에 냉각된 유체를 공급하는 것이다. 유체는 웨이퍼 지지 페데스탈로부터 열을 제거하므로 웨이퍼를 냉각한다. 웨이퍼를 냉각하는 이 방법은 두 가지 고유 문제점을 가진다. 첫 번째로는, 웨이퍼를 바람직한 온도로 하는데 필요한 응답 시간이 상당히 길다. 이와 같이 해서, 급격한 웨이퍼 온도 변동을 보상하기 위한 웨이퍼 온도의 급격한 동적 제어는 불가능하다. 따라서, 웨이퍼는 일정한 온도로 유지되지 않는다.
이 방법의 두 번째 단점은 웨이퍼의 표면에서의 온도 균일성을 제어할 수 없다는 것이다. 웨이퍼로부터 웨이퍼 지지 페데스탈로의 열 전달은 일반적으로 웨이퍼의 중심에서 가장 크고 에지를 향해서 보다 적어진다. 유체 온도가 일반적으로 웨이퍼 지지 페데스탈 내측에 균일하기 때문에, 웨이퍼는 중심에서 보다 급격하게 냉각한다. 이것은 웨이퍼 표면에서의 온도구배를 야기하여, 큰 직경의 웨이퍼, 예를 들어 300mm의 웨이퍼에서 보다 심하게 된다. 이런 온도 구배는 반도체 웨이퍼 프로세싱에서 피쳐 변화의 주요 원인중 하나이다.
페데스탈 온도의 급격한 동적 제어를 제공하는 방식으로 웨이퍼 온도를 제어하기 위한 다른 방법은 웨이퍼를 지지하는 페데스탈 표면(즉, 지지 표면)내에 내장된 열-전기 장치를 사용한다. 이들 장치는 페데스탈의 지지 표면 아래에 평면 배열로 방위설정된다. 그러나, 이런 배열내에서, 온도 구배는 개별 장치사이에 형성되며, 즉 각 장치는 보다 적은 량의 열이 장치에 바로 인접해서 그리고 이들 사이의 위치에 전달되면 그 위치에서 효율적으로 열 전달한다. 이런 다수의 장치 사이의 구배는 웨이퍼에서의 실질적인 온도 변화를 야기하며, 즉 고온 및 저온 위치가 형성된다. 따라서, 프로세스 변화는 온도 변화에 응해서 웨이퍼에서 일어날 수 있다.
예를 들어 구리 및 이리듐과 같은 이색적인 재료를 고려한 에칭으로 최근에 발전하고 있으며, 이는 웨이퍼 온도 및 온도 균일성을 제어하는 문제를 더욱 악화시킨다. 약간의 이색적인 재료를 에칭하는데 사용된 정전 척에 적용된 높은 바이어스 전력(1000 와트 초과)은 종래의 평균값을 초과하여 페데스탈의 냉각 제어에 필요한, 웨이퍼상의 열 부하에 크게 기여한다. 추가로, 약간의 이색적인 재료를 에칭하는데 사용된 프로세싱 온도는 200 내지 400 ℃의 범위의 온도를 필요로 한다. 이런 고온 프로세싱 온도는 웨이퍼를 빨리 가져다가 미리결정한 프로세싱 온로로 상승해서 유지하는 페데스탈을 필요로 한다.
그러므로, 이 기술분야에서는 웨이퍼를 빨리 가져다가 미리결정한 온도로 유지하고 웨이퍼 지지 페데스탈의 웨이퍼 지지 표면에서의 균일한 온도를 제공할 수 있는 장치가 필요하다.
도 1은 본 발명에 따른 열 제어 페데스탈을 포함하는 반도체 프로세싱 챔버를 도시하는 사시도.
도 2는 본 발명에 따른 열 제어 페데스탈의 제 1실시예의 단면도.
도 3은 본 발명에 따른 열 제어 페데스탈의 제 2실시예의 단면도.
도 4는 도 3의 실시예의 내부 및 외부 열 제어판의 단면도.
* 도면의 주요부분에 대한 부호의 설명 *
116 : 지지 페데스탈 120 : 챔버 볼륨
130 : 챔버 벽 154 : 유체 공급부
300 : 내부 열 전달판 302 : 제어판
304 : 접촉판 306 : 제 1디스크형부
308 : 제 2디스크형부 310 : 링
400 : 기판 지지체 402 : 내부 열 전달판
404 : 스페이서 406 : 절연체
408 : 외부 열 전달판 416 : 제 1전극
420 : 내부 볼륨 436 : 제 2전극
450 : 내경
종래 기술과 관련된 단점은 반도체 기판, 즉 웨이퍼를 지지하고 열적으로 제어하기 위한 본 발명의 지지 페데스탈에 의해 극복된다. 지지 페데스탈은 기판 지지체, 절연체와, 내부판 및 외부판을 가진다. 기판 지지체는 정전 척, 가열기, 세라믹 바디 등이다. 양호하게 저 열전도성을 가진 세라믹 또는 다른 재료로부터 제조된 절연체는 내부 볼륨을 이루는 내경을 가진다. 절연체는 기판 지지체와 외부판사이에 고정된다. 내부판은 절연체에 고정된다. 내부판과 기판 지지체는 대기로 통하는 갭을 형성한다. 내부판과 외부판 양자는 열 전달 유체를 순환하기 위한 내부 통로를 가진다.
본 발명의 제 2실시예는 기판 지지체, 절연체와, 내부판 및 외부판을 가지는 지지 페데스탈을 포함한다. 기판 지지체는 정전 척, 가열기, 세라믹 바디 등이다. 절연체는 내부 볼륨을 이루는 내경을 가진다. 절연체는 기판 지지체와 외부판사이에 고정된다. 내부판은 기판 지지체에 고정된다. 내부판은 제 1섹션과 제 2섹션을 분리하는 볼륨을 형성하는 링형상 섹션을 가진다. 제 1섹션은 기판 지지체에 고정된다. 외부판과 내부판의 제 2섹션 양자는 열 전달 유체를 순환시키기 위한 내부 통로를 가진다.
또한 웨이퍼의 열 제어 방법도 기술되어 있다. 웨이퍼 온도의 제어는 기판 지지체와 상기 기판 지지체 아래에 배치된 외부판내로 순환하는 유체사이의 열을 전달함으로써 용이해 진다. 추가로, 열은 기판 지지체와 상기 기판 지지체 아래에 배치된 내부판내에 순환하는 유체사이에서 전달된다. 양호한 방법의 다른 실시예는 열을 유체에 전달하기 전에 내부에 있는 링 섹션을 통해서 열을 전달하는 단계를 포함한다. 방법의 다른 실시예는 웨이퍼 온도를 감지하는 단계와 기판 지지체내의 하나 이상의 전극이 열을 발생하는 신호를 제공하는 단계를 포함한다.
본 발명의 내용은 첨부 도면과 연관하여 아래의 상세한 설명을 고려하면 보다 쉽게 이해하기 쉽다.
도 1에 도시한 본 발명은 반도체 프로세싱 시스템(100)의 일부분이다. 이런 시스템의 도시적인 예는 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티어릴스로부터 이용가능한 "Decoupled Plasma Source(DPS) Centura(상표명) 에칭 시스템이다. 시스템(100)은 웨이퍼 프로세싱 동안 미리결정한 온도에서 반도체 기판 또는 웨이퍼(114)를 유지하기 위한 기판 지지체 또는 지지 페데스탈(116)을 가진다. 본 지지 페데스탈(116)은 웨이퍼(114)의 온도 제어와 온도 균일성이 요구되는 어떠한 수의 반도체 프로세싱 시스템에서도 유용하다. 이 기술분야에 숙련된 자는 여기에 기술한 내용이 웨이퍼(114)의 온도 제어와 균일성이 요구되는 다양한 다른 적용분야(즉, 물리 및 화학 기상 증착 반도체 프로세싱 시스템)에 사용하기에 아주 적합하다는 것을 알 수 있다.
시스템(100)은 챔버(110)와 제어기(140)를 포함한다. 시스템(100)은 가스 패널(138), 열 전달 가스 소오스(148), 제 1 파워 소오스(118), 제 2 파워 소오스(122)와 유체 공급부(154)와 같은 다양한 소오스에 연결된다. 챔버(110)는 접지부(134)와 절연, 돔형 천정 또는 리드(120)에 연결되어 있는 도전성 챔버 벽(130)을 가진다. 챔버 벽(130)과 리드(120)는 챔버 볼륨(128)을 형성한다. 챔버 볼륨(128)은 통상적으로 웨이퍼 프로세싱 동안 대기압 아래에 있다. 하나 이상의 유도 코일 안테나 세그먼트(112)는 리드(120)의 외부에 위치설정된다. 안테나 세그먼트(112)는 일반적으로 약 12.56 MHz의 가변 주파수를 가진 RF신호를 생성할 수 있는 제 1 파워 소오스(118)에 결합되어 있다. 제 1파워 소오스(118)는 매칭 네트워크(119)를 통해서 안테나(112)에 결합되어 있다.
프로세스 챔버(110)내에 지지 페데스탈(116)이 배치되어 있다. 지지 페데스탈(116)은 제 2파워 소오스(122)에 결합되어 있다. 제 2파워 소오스(122)는 일반적으로 약 13.56 MHz의 가변 주파수를 가진 RF신호를 생성할 수 있다. 제 2파워 소오스(122)는 매칭 네트워크(124)를 통해서 지지 페데스탈(116)에 결합되어 있다. 열 전달 가스 소오스(148)는 지지 페데스탈(116)에 결합되어 있다. 열 전달 가스, 즉, 헬륨 가스는 열 전달 가스 소오스(148)로부터 웨이퍼(114)와 지지 페데스탈(116)사이의 채널(도시 생략)로 흐른다. 헬륨 가스는 기판(114)과 지지 페데스탈(116)사이의 열 전달을 용이하게 하는데 사용된다.
유체 공급부(154)는 제 1도관(156)에 의해 지지 페데스탈(116)에 결합되어 있다. 유체 공급부(154)는 또한 제 2도관(158)에 의해 챔버 벽(130)내의 통로(도시 생략)에 결합되어 있다. 유체 공급부(154)는 통상적으로 지지 페데스탈(116)과 챔버 벽(130)의 온도를 조절하는 것을 돕도록 80℃에서 용수(facility's water)를 흐려보낸다. 다른 유체는 용수와 쉽게 대치될 수 있다. 추가로, 변경 유체 온도는 다른 프로세싱 상태가 요구될 때 사용될 수 있다.
가스 패널(138)은 웨이퍼(114) 프로세싱을 용이하게 하는데 사용된 프로세스 가스와 다른 가스를 제공한다. 프로세스 가스, 예를 들어 아르곤은 가스 패널(138)로부터 챔버(110)로 포트(126)를 통해서 전달되고, 제 2파워 소오스(122)에 의해 지지 페데스탈(116)과 챔버 벽(130)사이에 전력이 적용되면 플라즈마(152)를 형성한다. 챔버 볼륨(128)내의 압력은 챔버(110)와 진공 펌프(136)사이에 놓여진 스로틀 밸브(132)를 사용해서 제어된다(통상적으로 웨이퍼 프로세싱 동안 대기압 아래).
제어기(140)는 중앙 프로세싱 유닛(CPU, 144), 메모리(142), CPU(144)용 지지회로(146)를 포함한다. 제어기(140)는 웨이퍼 프로세싱의 제어를 용이하도록 챔버(110)의 다양한 부품에 결합되어 있다.
지지 페데스탈(116)의 한 실시예는 도 2에 보다 상세히 도시되어 있다. 지지 페데스탈(116)은 기판 지지체(400), 내부 열 전달판(402), 스페이서(404), 절연체(406), 외부 열 전달판(408), 하우징(410), 샤프트(412) 및 클램프(414)를 가진다. 기판 지지체(400)는 정전 척, 가열기, 세라믹 바디 등일 수 있다. 기판 지지체(400)는 제 1 또는 기판측면(478), 제 2측면(480)과 원주방향 플랜지(446)를 가진다. 기판 지지체(400)는 통상적으로 열분해성 질화붕소, 질화알루미늄, 질화실리콘, 알루미나 등과 같은 남땜가능한 세라믹이다. 센서(422)는 기판 측면(478)에 인접해서 기판 지지체(400)내에 위치설정된다. 센서(422)는 연결부(428)를 통해서 제어기(140)에 결합되어 있다(도 1 참조). 클램프(414)는 절연체(406)에 대항해 기판 지지체(400)의 플랜지(446)를 유지한다. 클램프(414)는 볼트(도시생략)에 의해 통상적으로 절연체(406)에 고정되어 있다. 커버 링(426)은 클램프(414) 위에 배치되어 있다. 커버 링(426)은 클램프(414)와 기판 지지체(400)의 노출부(424)를 플라즈마(152)에 의해 에칭되어지지 못하게 보호한다.
하나 이상의 전극은 기판 지지체(400)에 내장되어 있다. 하나 이상의 전극은 하나 이상의 파워 소오스(즉, 소오스(122) 또는 변경적으로 DC 파워 소오스)로의 연결부를 통해서 척킹, 가열, RF 파워 분포 등의 다양한 요구 조건에 맞게 사용될 수 있다. 하나 이상의 전극이 적어도 제 1전극(416)과 제 2 전극(436)을 포함하는 것이 양호하다. 제 1전극(416)은 기판 지지체(400)의 기판 측면(478)에 웨이퍼(114)를 정전기적으로 유지하는데 통상적으로 사용된다. 제 2전극(436)은 웨이퍼(114)를 가열하는데 사용된다.
절연체(406)는 내경(450)과 두께(W')를 가진다. 내경(450)은 스텝(448)을 가진다. 절연체(406)는 저 열전도성을 가진 세라믹 또는 다른 재료로 제조된다. 절연체(406)는 기판 지지체(400)와 외부 열 전달판(408)사이에 배치되어 있다. 내경(450)과 기판 지지체(400)는 내부 볼륨(420)을 형성한다. 외부 열 전달판(408)은 금속 재료로 제조된다. 외부 열 전달판(408)은 중심 통로(438)와 내부 통로(418)를 가진다. 외부 열 전달판(408)내의 내부 통로(418)는 화살표 432로 도시한 바와 같이 외부 열 전달판(408)을 통해서 용수를 순환시키도록 허용하는 유체 도관(156)에 의해 유체 공급부(154)(도 1 참조)에 결합되어 있다. 하우징(410)은 외부 열 전달판(408)의 중심 통로(438)와 공동축선인 중심 통로(440)를 가진다. 중심 통로(438, 440)는 샤프트(412)로부터 내부 볼륨(420)으로 접근을 용이하게 하며, 내부 볼륨(420)이 샤프트(412)를 통해서 대기로 통기되도록 허용한다. 분해를 용이하게 하는 스크류, 볼트와 패스너와 같은 하드웨어는 적합하게 지지 페데스탈(116)의 부품을 고정하는데 사용된다. 그러나, 클램프, 리벳, 납땜, 용접 등과 같은 다른 고정 하드웨어 및 방법으로 대치될 수 있다.
지지 페데스탈(116)의 하우징(410)은 벨로우즈(454)에 부착되어 있다. 벨로우즈(454)는 또한 챔버 벽(130)에 부착되어 있다. 벨로우즈(454)는 챔버 볼륨(128)과 내부 볼륨(420)을 고립한다. 내부 볼륨(420)과의 고립을 위해서 벨로우즈(454)를 사용하는 것은 여기서 참고로 사용되는 1996년 4월 30일자 특허된 미국 특허 제 5, 511,799 호에 보다 상세히 기재되어 있다. 이런 형태에서, 벨로우즈(454)는 내부 볼륨(420)이 시스템(100) 작동 동안 대기에 통기된 상태로 남아 있도록 허용한다.
스페이서(404)는 링 형상이고 절연체(406)의 스텝(448)상에 배치되어 있다. 내부 열 전달판(402)은 스페이서(404)상에 배치되어 있다. 스페이서(404)와 내부 열 전달판(402) 양자는 내부 볼륨(420)내에 놓여 있다. 내부 열 전달판(402)은 적합하게 금속 또는 다른 열 전도성 재료로 제조된다. 내부 열 전달판(402)은 통로(476)와 표면(452)을 가진다. 통로(476)는 화살표 430으로 도시한 바와 같이 내부 열 전달판(402)을 통해서 용수를 순환시키도록 허용하는 유체 도관(156)에 의해 유체 공급부(154)(도 1 참조)에 결합되어 있다. 내부 열 전달판(402)과 기판 지지체(400)의 제 2측면(480)은 갭(456)을 형성한다. 내부 볼륨(420)내에 존재하는 공기는 갭(456)을 채우기 때문에, 내부 열 전달판(402)과 기판 지지체(400)사이의 열 전달은 대류, 전도 및 복사에 의해 갭(456)을 통해 일어난다.
시스템(100)의 작동은 도 1과 도 2를 동시에 참조함으로써 가장 잘 이해된다. 당업자는 지지 페데스탈(116)이 웨이퍼(114)의 온도 제어 및 온도 균일성이 요구되는 어떠한 곳에서도 양호하게 이용될 수 있음을 알 수 있을 것이며, 여기서 공지된 시스템(100)내에서의 사용과 프로세스 범위는 단지 하나의 예로서 설명을 위한 것이다. 웨이퍼(114)는 지지 페데스탈(116)의 기판 지지체(400) 상부에 놓여 있다. 헬륨은 웨이퍼(114)와 지지 페데스탈(116)사이의 열 전달을 용이하게 하기 위해서 웨이퍼(114)와 지지 페데스탈(116)사이의 열 전달 가스 소오스(148)로부터 공급된다. 웨이퍼(114)는 제 2파워 소오스(122)로부터 제 2전극(436)까지 파워를 공급함으로써 200-500 ℃ 범위의 미리결정된 프로세싱 온도로 되어진다. 통상적으로, 제 2전극에 의해 가열된 웨이퍼(114)는 20초 이하에서 미리결정된 온도에 도달할 것이다. 웨이퍼(114)가 기판 지지체(400)상에 위치설정되고 챔버 볼륨(128)이 펌프(136)에 의해 비워진 후, 가스 패널(138)로부터의 프로세스 가스는 챔버 벽(130)의 포트(126)를 통해서 챔버 볼륨(128)으로 들어간다. 가스는 제 1파워 공급부(118)로부터 안테나(112)까지 2500 와트까지 가함으로써 플라즈마(152)에 의해 여기된다. 웨이퍼(114)는 제 2파워 공급부(122)로부터 제 1전극(416)으로 1000와트까지 가함으로써 지지 페데스탈(116)상에 유지된다. 전압은 플라즈마(152)내의 프로세스 가스를 이온화하며, 그 결과로 웨이퍼(114)가 에칭된다.
프로세싱 동안, 웨이퍼(114)는 다양한 소오스로부터, 즉 플라즈마(152), 하나 이상의 전극(416, 436) 등으로부터 열 에너지를 받는다. 웨이퍼(114)에 영향을 주는 열 에너지를 저지하기 위해서, 열 에너지는 내부 열 전달판(402)과 외부 열 전달판(408) 각각의 통로(476, 418)를 통해서 흐르는 유체 공급부(152)로부터 나온 용수에 의해서 웨이퍼(114)로부터 제거된다. 당업자는 에틸렌 글리콜 등과 같은 다른 냉각 유체가 용수와 쉽게 대치될 수 있음을 알 수 있다. 열 전달의 주 통로는 웨이퍼(114)로부터 기판 지지체(400)를 통해서, 갭(456)을 가로질려 내부 열 전달판(402)으로 그리고 통로(476)를 통해서 흐르는 용수로 이어진다. 이 통로를 통한 열 전달 속도는 기판 지지체(400)와 내부 열 전달판(402)의 재료 조성물, 갭(456)의 크기 및 내부 볼륨(432)을 채우는 가스의 조성물을 변경함으로써 변할 수 있다. 통상적으로 공기가 갭(456)내의 열 전달 매체(즉, 내부 볼륨(432)의 일부분인 갭)를 제공하면, 갭(456)내의 압력 변화(그러므로 공기 밀도)는 열 전달 속도에 대응해서 변경될 것이다. 공기 대신 다른 가스(즉, 질소)로 대치하면 또한 갭(456)을 통해서 열 전달 속도도 변경하게 될 것이다.
열의 제 2통로는 웨이퍼(114)로부터 기판 지지체(400)를 통해서, 절연체(406) 둘레로, 외부 열 전달판(408)으로 그리고 통로(418)를 통해서 흐르는 용수로 이어진다. " 절연체(406) 둘레"는 절연체(406)가 저 열 전도성을 가지므로, 제 2통로를 따라서 이동하는 대부분의 열은 절연체(406)를 통과하지 않는다는 의미이다. 열이 내부 볼륨(420)내에 잔류하는 공기와 챔버 볼륨(128)내의 가스(비록 소량이기 하지만)를 통해서 전파됨으로써 "절연체 둘레"를 통과한다. 또한 열은 기판 지지체(400)로부터 외부 열 전달판(408)까지 지지 페데스탈(116)을 유지하는 하드웨어를 통해서 함께 이동함으로써 "절연체 둘레"를 통과한다. 이 통로에서의 열 전달 속도는 기판 지지체(400), 절연체(406)와 외부 열 전달판(408)의 재료 조성물을 변경함으로써 변화될 수 있다. 추가로, "절연체 둘레"의 열전달 속도는 또한 절연체(406)의 두께(W')를 바꿈으로써 변경된다.
주 통로의 열 전달 속도가 제 2통로의 열 전달 속도를 초과하면, 중심에서 보다 빨리 가열되고 냉각되는 웨이퍼(114)의 경향은 보상받는다. 그러므로, 균일한 온도 구배는 웨이퍼 직경에 거쳐서 유지된다. 주 및 제 2통로사이의 실제 열 전달 속도와 발란스는 페데스탈/웨이퍼 기하학 형상 및 웨이퍼(114)가 받게되어질 프로세싱 매개변수에 좌우된다.
웨이퍼(114)에서 미리결정된 온도를 유지하기 위해서, 웨이퍼(114)에서의 열 전달 속도는 발란스되어야 한다. 제어기(140)는 웨이퍼(114)에서의 열 전달 속도의 발란스를 용이하게 한다. 기판 지지체(400)내에 존재하는 센서(422)는 웨이퍼 온도 정보를 제공하는, 제어기(140)에 연결되어 있다. 웨이퍼(114)를 가열할 필요가 있다고 제어기(140)가 결정하면, 제어기(140)는 신호를 제 2전극(436)에 보내며, 이런 제 2 전극(436)에 의해 발생되고 웨이퍼(114)에 전달된 열이 용수에 의해 웨이퍼(114)로부터 제거된 열을 초과하게 한다(즉, 웨이퍼(114)의 열 에너지의 네트 증가). 웨이퍼(114)로부터 열을 제거할 필요가 있다고 제어기(140)가 결정하면, 제어기(140)는 신호를 제 2전극(436)에 보내며, 이런 제 2 전극(436)에 의해 발생되고 웨이퍼(114)에 전달된 열보다 용수에 의해 웨이퍼(114)로부터 제거된 열이 초과하게 된다(즉, 웨이퍼(114)의 열 에너지의 네트 감소). 센서(422)와 제어기(140)는 웨이퍼(114) 온도를 연속적으로 모니터하여, 웨이퍼(114)를 미리결정한 온도에서 유지하도록 적절한 신호를 제 2전극(436)에 보낸다.
가열과 냉각 요구조건이 챔버내에 수용되어지는 프로세스 형태에 따라 변하기 때문에, 상술한 속성은 특정 분야에 대해 예상 웨이퍼 가열 조건을 최상으로 다루기 위해서 당업자에 의해 변경될 수 있다.
지지 페데스탈(116)의 제 2실시예는 도 3에 도시되어 있다. 지지 페데스탈(116)은 기판 지지체(400), 내부 열 전달판(300), 절연체(466), 외부 열 전달판(408), 하우징(410), 샤프트(412)와 클램프(414)를 포함한다. 기판 지지체(400)는 정전 척, 가열기, 세라믹 바디 등일 수 있다. 센서(422)는 기판 측면(478)에 가까운 기판 지지체(400)내에 위치설정된다. 센서(422)는 제어기(140)에 결합되어 있다. 클램프(414)는 절연체(466)에 대항해서 기판 지지체(400)의 플랜지(446)를 유지한다. 클램프(414)는 볼트(도시 생략)에 의해 통상적으로 절연체(466)에 고정되어 있다. 커버 링(426)은 클램프(414) 위에 배치되어 있다. 커버 링(426)은 클램프(414)와 기판 지지체(400)의 노출부(424)를 플라즈마(152)에 의해 에칭되어지지 못하게 보호한다.
하나 이상의 전극은 기판 지지체(400)에 내장되어 있다. 하나 이상의 전극은 하나 이상의 파워 소오스(즉, 소오스(122) 또는 변경적으로 DC 파워 소오스)로의 연결부를 통해서 척킹, 가열, RF 파워 분포 등을 포함하는 반도체 기판 프로세싱의 다양한 요구 조건에 맞게 사용될 수 있다. 하나 이상의 전극이 적어도 제 1전극(416)과 제 2 전극(436)을 포함하는 것이 양호하다. 제 1전극(416)은 기판 지지체(400)의 기판 측면(478)에 웨이퍼(114)를 정전기적으로 유지하는데 통상적으로 사용된다. 제 2전극(436)은 웨이퍼(114)를 가열하는데 사용된다.
절연체(466)는 내경(468)과 두께(W')를 가진다. 내경(468)과 기판 지지체(400)는 내부 볼륨(420)을 형성한다. 절연체(466)는 저 열 전도성을 가진 세라믹 또는 다른 재료로부터 제조된다. 절연체(466)는 외부 열 전달판(408)과 기판 지지체(400)사이에 배치되어 있다. 외부 열 전달판(408)은 금속 재료로 제조된다. 외부 열 전달판(408)은 중심 통로(438)와 내부 통로(418)를 가진다. 외부 열 전달판(408)내의 내부 통로(418)는 화살표 432로 도시한 바와 같이 외부 열 전달판(408)을 통해서 용수를 순환시키도록 허용하는 제 1도관(156)에 의해 유체 공급부(154)(도 1 참조)에 결합되어 있다. 하우징(410)은 중심 통로(438)와 정렬되어 있는 중심 통로(440)를 가진다. 중심 통로(438, 440)는 샤프트(412)로부터 내부 볼륨(420)으로 접근을 허용하고, 내부 볼륨이 샤프트(412)를 통해서 대기로 배출되도록 허용한다. 분해를 용이하게 하는 스크류, 볼트와 패스너와 같은 하드웨어는 적합하게 지지 페데스탈(116)의 부품을 고정하는데 사용된다. 그러나, 클램프, 리벳, 납땜, 용접 등과 같은 다른 고정 하드웨어 및 방법으로 대치될 수 있다.
지지 페데스탈(116)의 하우징(410)은 벨로우즈(454)에 부착되어 있다. 벨로우즈(454)는 또한 챔버 벽(130)에 부착되어 있다. 벨로우즈(454)는 챔버 볼륨(128)과 내부 볼륨(420)을 고립한다. 이런 형태에서, 벨로우즈(454)는 내부 볼륨(420)이 시스템(100) 작동 동안 대기에 통기된 상태로 남아 있도록 허용한다.
내부 열 전달판(300)은 내부 볼륨(420)내에 놓여 있다. 도 4에 상세히 도시한 내부 열 전달판(300)은 제어판(302)과 접촉판(304)으로 구성되어 있다. 제어판(302)과 접촉판(304) 양자는 금속 또는 다른 열 전도성 재료로부터 제조된다. 제어판(302)은 제 1디스크형부(306)와 제 2디스크형부(308)를 가진다. 두께(T)와 높이(H)를 가진 링(310)은 제 1디스크형부(306)와 제 2디스크형부(308)를 연결한다. 볼륨(318)은 제 1디스크형부(306)와 제 2디스크형부(308)와 링(310)에 의해 형성된다. 제 2디스크형부(308)는 볼륨(318)이 내부 볼륨(420)과 연통하도록 허용하는 통기부(316)를 가진다. 내부 볼륨(420)내에 존재하는 공기는 볼륨(318)을 채우기 때문에, 열 전달은 대류, 전도 및 복사에 의해 볼륨(318)을 통해 일어난다. 제 2디스크형부(308)는 추가로 통로(312)를 가진다. 통로(312)는 도 3의 화살표 430으로 도시한 바와 같이 제 2디스크형부(308)를 통해서 용수를 순환시키도록 허용하는 도관(156)에 의해 유체 공급부(154)(도 1 참조)에 결합되어 있다.
접촉판(304)은 제 1측면(320)과 제 2측면(322)을 가진다. 제 2측면(322)은 제어판(302)의 제 1디스크형부(306)가 배치되어 있는 카운터보어(324)를 가진다. 접촉판(304)의 제 1측면(320)은 기판 지지체(400)의 제 2측면(480)에 대향해 배치되어 있다. 접촉판(304)과 제어판(302)의 형상은 링(310)이 내부 열 전달판(300)내에 배치된 상태로 남아 있는 한 쉽게 변경될 수 있음을 누구나 알 수 있다.
시스템(100)의 작동은 도 1, 도 3 및 도 4를 동시에 참조함으로써 가장 잘 이해된다. 당업자는 지지 페데스탈(116)이 웨이퍼(114)의 온도 제어 및 온도 균일성이 요구되는 어떠한 곳에서도 양호하게 이용될 수 있음을 알 수 있을 것이며, 여기서 공지된 시스템(100)내에서의 사용과 프로세스 범위는 단지 하나의 예로서 설명을 위한 것이다. 웨이퍼(114)는 지지 페데스탈(116)의 기판 지지체(400) 상부에 놓여 있다. 헬륨은 웨이퍼(114)와 지지 페데스탈(116)사이의 열 전달을 용이하게 하기 위해서 웨이퍼(114)와 지지 페데스탈(116)사이의 열 전달 가스 소오스(148)로부터 공급된다. 웨이퍼(114)는 제 2파워 소오스(122)로부터 제 2전극(436)까지 파워를 공급함으로써 200-500 ℃ 범위의 미리결정된 프로세싱 온도로 되어진다. 통상적으로, 제 2전극에 의해 가열된 웨이퍼(114)는 20초 이하에서 미리결정된 온도에 도달할 것이다. 웨이퍼(114)가 기판 지지체(400)상에 위치설정되고 챔버 볼륨(128)이 펌프(136)에 의해 비워진 후, 가스 패널(138)로부터의 프로세스 가스는 챔버 벽(130)의 포트(126)를 통해서 챔버 볼륨(128)으로 들어간다. 가스는 제 1파워 공급부(118)로부터 안테나(112)까지 2500 와트까지 가함으로써 플라즈마(152)에 의해 여기된다. 웨이퍼(114)는 제 2파워 공급부(122)로부터 제 1전극(416)으로 1000와트까지 가함으로써 지지 페데스탈(116)상에 유지된다. 이렇게 만들어진 플라즈마 점화와 지지 페데스탈(116) 바이어싱(biasing)은 웨이퍼(114)의 에칭을 가져온다.
프로세싱 동안, 웨이퍼(114)는 다양한 소오스로부터, 즉 플라즈마(152), 하나 이상의 전극(416, 436) 등으로부터 열 에너지를 받는다. 웨이퍼(114)에 영향을 주는 열 에너지를 저지하기 위해서, 열 에너지는 내부 열 전달판(300)과 외부 열 전달판(408) 각각의 통로(312, 418)를 통해서 흐르는 유체 공급부(152)로부터 나온 용수에 의해서 웨이퍼(114)로부터 제거된다. 웨이퍼(114)로부터 열 전달의 두 주 통로가 있다. 제 1주 통로는 웨이퍼(114)로부터 기판 지지체(400)를 통해서, 접촉판(304)을 통해서, 제어판(302)의 제 1디스크형부(306)로, 볼륨(318)을 거쳐서, 제 2디스크형부(308)로 그리고 통로(312)를 통해서 흐르는 용수로 이어진다. 이 통로를 통한 열 전달 속도는 기판 지지체(400), 접촉판(304)과 제어판(302)의 재료 조성물, 볼륨(318)의 크기(즉, 높이(H)를 변경함으로써)와 볼륨(318)을 채우는 가스의 조성물에 의해 변경될 수 있다. 통상적으로 공기가 볼륨(318)내의 열 전달 매체(즉, 통기부(316)를 통해서 내부 볼륨(432)과 연통하는 볼륨(318))를 제공하면, 볼륨(318)내의 압력 변화(그러므로 공기 밀도)는 열 전달 속도에 대응해서 변경될 것이다. 공기 대신 다른 가스(즉, 질소)로 대치하면 또한 볼륨(318)을 통해서 열 전달 속도도 변경하게 될 것이다.
제 2주 통로는 웨이퍼(114)로부터 기판 지지체(400)를 통해서, 접촉판(304)을 통해서, 제어판(302)의 제 1디스크형부(306)로, 링(310)을 통해서, 제 2디스크형부(308)로 그리고 통로(312)를 통해서 흐르는 용수로 이어진다. 이 통로를 통한 열 전달 속도는 기판 지지체(400), 접촉판(304)과 제어판(302)의 재료 조성물을 변경함으로써 그리고 링(310)의 형상(즉, 링(310)의 높이(H)와 두께(T)를 변경함으로써) 변경될 수 있다. 제 2주 통로는 주 통로들을 따라서 전달된 대부분의 열을 운반한다.
열 전달의 제 2통로는 웨이퍼(114)로부터, 기판 지지체(400)를 통해서, 절연체(466) 둘레로, 외부 열 전달판(408)으로 그리고 통로(418)를 통해서 흐르는 용수로 이어진다. 또한 열은 기판 지지체(400)로부터 외부 열 전달판(408)까지 지지 페데스탈(116)을 유지하는 하드웨어를 통해서 함께 이 통로를 따라서 전달될 수 있다. 열 전달 속도는 또한 절연체(466)의 두께(W')를 바꿈으로써 달성된다.
주 통로의 열 전달 속도가 제 2통로의 열 전달 속도를 초과하면, 중심에서 보다 빨리 가열되고 냉각되는 웨이퍼(114)의 경향은 보상받는다. 그러므로, 균일한 온도는 웨이퍼 직경에 거쳐서 유지된다. 주 및 제 2통로사이의 실제 열 전달 속도와 발란스는 페데스탈/웨이퍼 기하학 형상 및 웨이퍼(114)가 받게되어질 프로세싱 매개변수에 좌우된다.
웨이퍼(114)에서 미리결정된 온도를 유지하기 위해서, 웨이퍼(114)에서의 열 전달 속도는 발란스되어야 한다. 제어기(140)는 웨이퍼(114)에서의 열 전달 속도의 발란스를 용이하게 한다. 기판 지지체(400)내에 존재하는 센서(422)는 웨이퍼 온도 정보를 제공하는, 제어기(140)에 연결되어 있다. 웨이퍼(114)를 가열할 필요가 있다고 제어기(140)가 결정하면, 제어기(140)는 신호를 제 2전극(436)에 보내며, 이런 제 2 전극(436)에 의해 발생되고 웨이퍼(114)에 전달된 열이 용수에 의해 웨이퍼(114)로부터 제거된 열을 초과하게 한다(즉, 웨이퍼(114)의 열 에너지의 네트 증가). 웨이퍼(114)로부터 열을 제거할 필요가 있다고 제어기(140)가 결정하면, 제어기(140)는 신호를 제 2전극(436)에 보내며, 이런 제 2전극(436)에 의해 발생되고 웨이퍼(114)에 전달된 열보다 웨이퍼(114)로부터 제거된 열이 초과하게 된다(즉, 웨이퍼(114)의 열 에너지의 네트 감소). 센서(422)와 제어기(140)는 웨이퍼(114) 온도를 연속적으로 모니터하여, 웨이퍼(114)를 미리결정한 온도에서 유지하도록 적절한 신호를 제 2전극(436)에 보낸다.
가열과 냉각 요구조건이 챔버내에 수용되어지는 프로세스 형태에 따라 변하기 때문에, 상술한 속성은 특정 분야에 대해 예상 웨이퍼 가열 조건을 최상으로 다루기 위해서 당업자에 의해 변경될 수 있다.
본 발명이 에칭 챔버내의 정전기 척으로서 설명적으로 공지되어 있어도, 본 발명의 용도는 반도체 웨이퍼 지지체, 가열기 등과 같이 웨이퍼 온도의 제어가 중요한 다른 분야에도 양호하게 적용될 수 있다. 본 발명의 내용이 여기서 상세히 기술하고 도시되어 있어도, 당업자는 본 발명을 여전히 사용하고 본 발명의 정신으로부터 벗어나지 않고 다른 다양한 실시예를 쉽게 발명할 수 있을 것이다.
본 발명의 구성으로 프로세싱 동안, 웨이퍼의 온도를 균일하게 유지할 수 있다.

Claims (27)

  1. 작업물의 지지와 온도 제어를 위한 장치에 있어서,
    기판 지지체,
    상기 기판 지지체 아래에 배치된 절연체와,
    상기 절연체 아래에 배치된 외부 열 전달판을 포함하는 장치.
  2. 제 1항에 있어서, 상기 절연체와 상기 기판 지지체는 내부 볼륨을 형성하는 장치.
  3. 제 2항에 있어서, 상기 내부 볼륨내에 배치된 내부판을 더 포함하는 장치.
  4. 제 3항에 있어서, 상기 내부판과 상기 기판 지지체사이에 형성된 갭을 더 포함하는 장치.
  5. 제 3항에 있어서, 상기 외부 열 전달판내의 제 1내부 열 전달 유체 통로와,
    상기 내부판내의 제 2내부 열 전달 유체 통로를 더 포함하는 장치.
  6. 제 3항에 있어서, 상기 기판 지지체는 가열기를 포함하는 장치.
  7. 제 3항에 있어서, 상기 기판 지지체는 세라믹 바디를 포함하는 장치.
  8. 제 3항에 있어서, 상기 기판 지지체는 정전 척을 포함하는 장치.
  9. 제 2항에 있어서, 상기 내부 볼륨은 대기로 통기되어 있는 장치.
  10. 제 3항에 있어서, 상기 내부판은,
    제 1섹션과,
    제 2섹션과,
    상기 제 1섹션과 상기 제 2섹션을 연결하는 링형상 섹션을 더 포함하는 장치.
  11. 제 10항에 있어서, 상기 내부 볼륨에 통기되어 있는, 상기 링형상 섹션, 상기 제 1섹션과 상기 제 2섹션에 의해 형성된 볼륨을 더 포함하는 장치.
  12. 제 10항에 있어서, 상기 외부 열 전달판내의 제 1내부 열 전달 유체 통로와,
    상기 내부판내의 상기 제 2섹션내의 제 2내부 열 전달 유체 통로를 더 포함하는 장치.
  13. 작업물의 지지와 온도 제어를 위한 장치에 있어서,
    기판 지지체,
    상기 기판 지지체 아래에 배치되고 내부 볼륨을 가진 절연체와,
    상기 절연체 아래에 배치된 외부 열 전달판과,
    상기 내부 볼륨내에 배치된 내부 열 전달판을 포함하는 장치.
  14. 제 13항에 있어서, 상기 내부 열 전달판은 볼륨을 이루는 상기 내부 열 전달판내의 링형상 섹션을 더 포함하는 장치.
  15. 제 13항에 있어서, 상기 내부 열 전달판은,
    제 1섹션과,
    제 2섹션과,
    상기 제 1섹션과 상기 제 2섹션을 연결하는 링형상 섹션을 더 포함하는 장치.
  16. 제 15항에 있어서, 상기 볼륨이 상기 내부 볼륨에 통기될 수 있도록 허용하는 상기 제 2섹션내의 통기구를 더 포함하는 장치.
  17. 제 15항에 있어서, 상기 내부 볼륨은 대기에 통기되어 있는 장치.
  18. 제 13항에 있어서, 상기 기판 지지체는 정전 척을 포함하는 장치.
  19. 제 13항에 있어서, 상기 기판 지지체는 가열기를 포함하는 장치.
  20. 제 13항에 있어서, 상기 기판 지지체는 세라믹 바디를 포함하는 장치.
  21. 반도체 프로세싱 시스템에 있어서,
    프로세스 챔버와,
    상기 프로세스 챔버내에 배치된 지지 페데스탈로서, 하나 이상의 내장 전극을 가진 기판 지지체와, 상기 기판 지지체 아래에 배치되고 내부 볼륨을 가진 절연체와, 상기 절연체 아래에 배치된 외부 열 전달판과 상기 내부 볼륨내에 배치된 내부 열 전달판을 가진 지지 페데스탈과,
    상기 하나 이상의 내장 전극과 센서에 결합된 제어기를 포함하는 반도체 프로세싱 시스템.
  22. 제 21항에 있어서, 상기 내부 열 전달판과 상기 기판 지지체에 의해 형성된 갭을 더 포함하는 반도체 프로세싱 시스템.
  23. 제 21항에 있어서, 상기 내부 열 전달판은,
    제 1섹션과,
    제 2섹션과,
    상기 제 1섹션과 상기 제 2섹션을 연결하는 링형상 섹션을 더 포함하는 반도체 프로세싱 시스템.
  24. 내부 볼륨을 가진 절연체에 연결된 기판 지지체와 상기 내부 볼륨내에 배치된 내부 열 전달판과 상기 절연체에 연결된 외부 열 전달판을 가진 지지 페데스탈 상에 균일한 온도로 반도체 웨이퍼를 유지하는 방법으로서,
    상기 기판 지지체 상에 웨이퍼를 제공하는 단계와,
    상기 제 1기판 지지체와 상기 외부 열 전달판사이의 열을 제 1속도로 전달하는 단계와,
    상기 기판 지지체와 상기 내부 열 전달판사이의 열을 제 2속도로 전달하는 단계를 포함하는 방법.
  25. 제 24항에 있어서, 상기 기판 지지체와 상기 내부 열 전달판사이의 열을 전달하는 단계는 열을 갭을 거쳐서 전달하는 단계를 더 포함하는 방법.
  26. 제 24항에 있어서, 상기 기판 지지체와 상기 내부 열 전달판사이의 열을 전달하는 단계는 열을 상기 내부 열 전달판내의 링형상 섹션을 거쳐서 전달하는 단계를 더 포함하는 방법.
  27. 제 24항에 있어서, 상기 기판 지지체에서 웨이퍼 온도를 측정하는 단계와,
    상기 제 1판의 상기 제 2섹션과 상기 유체 사이의 열 전달 속도를 제어하기 위해서 상기 측정된 온도에 따라서 신호를 발생하는 단계의 추가의 단계를 포함하는 방법.
KR1020000045014A 1999-08-03 2000-08-03 반도체 기판의 열 제어 방법 및 장치 KR100748372B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/366,155 1999-08-03
US09/366,155 US6466426B1 (en) 1999-08-03 1999-08-03 Method and apparatus for thermal control of a semiconductor substrate
US9/366,155 1999-08-03

Publications (2)

Publication Number Publication Date
KR20010030052A true KR20010030052A (ko) 2001-04-16
KR100748372B1 KR100748372B1 (ko) 2007-08-10

Family

ID=23441877

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000045014A KR100748372B1 (ko) 1999-08-03 2000-08-03 반도체 기판의 열 제어 방법 및 장치

Country Status (4)

Country Link
US (1) US6466426B1 (ko)
EP (1) EP1075015A3 (ko)
JP (1) JP4768906B2 (ko)
KR (1) KR100748372B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100613925B1 (ko) * 2001-07-25 2006-08-18 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
KR100922778B1 (ko) * 2008-10-20 2009-10-23 주식회사 유진테크 기판처리장치 및 기판처리방법

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
JP4133333B2 (ja) * 2001-02-15 2008-08-13 東京エレクトロン株式会社 被処理体の処理方法及びその処理装置
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7127367B2 (en) * 2003-10-27 2006-10-24 Applied Materials, Inc. Tailored temperature uniformity
US20080090309A1 (en) * 2003-10-27 2008-04-17 Ranish Joseph M Controlled annealing method
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050099776A1 (en) * 2003-11-12 2005-05-12 Xue Liang A. Passive thermal switch
CN100376945C (zh) * 2004-06-11 2008-03-26 鸿富锦精密工业(深圳)有限公司 基板贴合装置和基板贴合制程
WO2006006526A1 (ja) * 2004-07-09 2006-01-19 Sekisui Chemical Co., Ltd. 基材外周処理方法及び装置
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
KR20090024866A (ko) * 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
TWI508129B (zh) 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
KR101006848B1 (ko) * 2008-05-28 2011-01-14 주식회사 코미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
JP6104823B2 (ja) * 2011-03-01 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄型加熱基板支持体
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
JP6444641B2 (ja) * 2014-07-24 2018-12-26 株式会社ニューフレアテクノロジー 成膜装置、サセプタ、及び成膜方法
WO2018183243A1 (en) * 2017-03-31 2018-10-04 Mattson Technology, Inc. Pedestal assembly for plasma processing apparatus
US10199252B2 (en) * 2017-06-30 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal pad for etch rate uniformity
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JP2713956B2 (ja) * 1988-03-04 1998-02-16 株式会社日立製作所 低温ドライエッチング装置
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
JPH05166757A (ja) * 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
JPH06244143A (ja) * 1993-02-15 1994-09-02 Tokyo Electron Ltd 処理装置
JP2749759B2 (ja) * 1993-06-23 1998-05-13 信越化学工業株式会社 静電チャック付セラミックスヒーター
JPH0722501A (ja) * 1993-06-29 1995-01-24 Tokyo Electron Ltd 処理装置
TW273067B (ko) * 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
JPH08264465A (ja) * 1995-03-23 1996-10-11 Tokyo Electron Ltd 処理装置
US5708556A (en) * 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
JPH11343571A (ja) * 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100613925B1 (ko) * 2001-07-25 2006-08-18 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
US8876976B2 (en) 2006-11-02 2014-11-04 Eugene Technology Co., Ltd. Chemical vapor deposition apparatus for equalizing heating temperature
KR100922778B1 (ko) * 2008-10-20 2009-10-23 주식회사 유진테크 기판처리장치 및 기판처리방법

Also Published As

Publication number Publication date
EP1075015A2 (en) 2001-02-07
KR100748372B1 (ko) 2007-08-10
US6466426B1 (en) 2002-10-15
JP4768906B2 (ja) 2011-09-07
JP2001118835A (ja) 2001-04-27
EP1075015A3 (en) 2005-12-14

Similar Documents

Publication Publication Date Title
KR100748372B1 (ko) 반도체 기판의 열 제어 방법 및 장치
US8536494B2 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6024827A (en) Plasma processing apparatus
US6558508B1 (en) Processing apparatus having dielectric plates linked together by electrostatic force
US10636689B2 (en) Apparatus for spatial and temporal control of temperature on a substrate
US5078851A (en) Low-temperature plasma processor
JP4256482B2 (ja) 高温の静電チャックから下側の低温体に伝熱するための装置及び方法
KR100274757B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR0166973B1 (ko) 반도체 웨이퍼 처리장치 및 방법
JP4176848B2 (ja) 基板支持体及び処理装置
US5810933A (en) Wafer cooling device
KR0129663B1 (ko) 에칭 장치 및 방법
JP2014146822A (ja) 空間温度分布の制御方法及び装置
JP3150058B2 (ja) プラズマ処理装置及びプラズマ処理方法
TW201519359A (zh) 可調溫度控制靜電夾組件
JP2009200529A (ja) ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
JP2006526289A (ja) 基板の温度コントロールのための方法とシステム
JP3181501B2 (ja) 処理装置および処理方法
KR20040096496A (ko) 가열된 진공 지지 장치
JP3150027B2 (ja) プラズマ発生装置及びこのプラズマ発生装置を用いたプラズマ処理装置
JP3372244B2 (ja) プラズマ処理装置
JPH07183277A (ja) 処理装置
JPH09219439A (ja) 基板処理装置
JP3032087B2 (ja) プラズマ処理装置
JP3165515B2 (ja) 処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee