KR100274757B1 - 플라즈마 처리장치 및 플라즈마 처리방법 - Google Patents

플라즈마 처리장치 및 플라즈마 처리방법 Download PDF

Info

Publication number
KR100274757B1
KR100274757B1 KR1019950046779A KR19950046779A KR100274757B1 KR 100274757 B1 KR100274757 B1 KR 100274757B1 KR 1019950046779 A KR1019950046779 A KR 1019950046779A KR 19950046779 A KR19950046779 A KR 19950046779A KR 100274757 B1 KR100274757 B1 KR 100274757B1
Authority
KR
South Korea
Prior art keywords
antenna
high frequency
gas
plasma
processing
Prior art date
Application number
KR1019950046779A
Other languages
English (en)
Other versions
KR960026343A (ko
Inventor
이시이노부오
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 히가시 데쓰로
Publication of KR960026343A publication Critical patent/KR960026343A/ko
Application granted granted Critical
Publication of KR100274757B1 publication Critical patent/KR100274757B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 처리장치는, 피처리체가 수납되는 챔버와, 챔버내에 처리가스를 공급하는 가스공급부와, 피처리체를 처리하기 위한 챔버내에 공급되는 처리가스를 생기하기 위해서 챔버내외 중 적어도 한 쪽에 피처리체에 대향하는 고주파전원과, 피처리체상에서의 전계를 균일하게 하기 위해서 피처리체에 대향하고, 또한 고주파 안테나와는 절연하여 설치되고, 기준전위에 설정되는 전극에 의해서 구성된다.

Description

플라즈마 처리장치 및 플라즈마 처리방법
제1도는, 본 발명의 실시예에 관한 플라즈마 에칭장치의 개략 단면도.
제2도는, 제1도의 플라즈마 에칭장치의 사시도.
제3도는, 주변장치를 포함한 제1도의 플라즈마 에칭장치의 개략 단면도.
제4도는, 다른 실시예에 관한 플라즈마 에칭장치의 부분 단면도.
제5도는, 다른 실시예에 따른 플라즈마 장치의 개략 단면도.
제6(a)도 및 제6(b)도는, 제5도의 플라즈마 장치에 이용되는 정전 시일드판의 평면도.
제7도는, 제1도, 제4도 및 제5도의 실시예의 플라즈마 장치에 적용할 수 있는 이중 링 안테나를 나타내는 도면.
제8도는, 다른 실시예로서, 이중링 안테나를 구비한 플라즈마 장치의 개략 단면도이다.
* 도면의 주요부분에 대한 부호의 설명
1, 81 : 플라즈마 에칭장치 2, 82, 101 : 처리용기
2a : 처리실 3 : 절연판
4, 69, 102 : 얹어놓는대 4a : 서셉터 지지대
4b : 볼트 4c : 서셉터
5, 83 : 절연재 6, 84 : 고주파 안테나
6a, 6b : 단자 7, 108, 122a, 122b : 고주파전원
8 : 매칭회로 11 : 냉각재킷
12 : 냉매원 13 : 냉매 도입관
14 : 냉매 배출관 15 : 정전척
16 : 도전막 17 : 가변직류 고압전원
18 : 습도조절용 히터 19 : 전력원
20 : 가스원 21 : 가스유로
22 : 포커스링 23 : 콘덴서
24, 111 : 고주파전원 31, 85, 104a, 131a : 접지전극
10, 32 : 가변부하 회로장치 31a : 접지전극의 아랫면
33 : 처리가스의 공급유로 33a, 87 : 공급구
33b, 88a : 도입구 34, 89 : 제1 공급관
35 : 절연부재 36, 91 : 제2 공급관
37, 38, 44, 45, 93, 94 : 밸브
39, 40, 46, 47, 95, 96 : 매스플로우 컨트롤러
41, 42, 97, 98 : 처리가스 공급원
43 : 가스 공급관 51 : 배기관
44, 52 : 진공펌프 53 : 투과창
54 : 광학계 장치 55 : 광학센서
56 : 제어기 57 : 센서
61, 66, 72 : 게이트 밸브 62 : 로드록실
63 : 반송장치 64, 70 : 배기관
65, 71, 73 : 진공 배기밸브
67 : 카세트실 68 : 카세트
86 : 중공부 88 : 공급유로
88a : 도입구 90 : 절연부재
92 : 메인 밸브 103 : 반도체 웨이퍼
104 : 유전성 천정판 105 : O링
106 : 유도코일 107 : 정전 시일드판
112 : 분배기 115 : 챔버
120 : 안테나 120a, 120b : 링형상 안테나
121a, 121b, 153 : 캐피시터
131 : 천정판 133 : 기초대
134 : 웨이퍼 얹어놓는대 135 : 상자성 금속
136 : 내측 고주파코일 137 : 외측 고주파코일
152 : 하부전원 154 : 정전척용 전극
163 : 직류전원 164 : 웨이퍼
165 : 가스 도입관 166 : 샤워헤드
167 : 가스 배출관 168 : 케이싱
169 : 매칭박스 170 : 전자 시일드통
172 : ON/OFF 스위치 P1, P2 : 전력
본 발명은, 플라즈마 처리장치 및 플라즈마 처리방법에 관한 것이다.
종래로 부터, 피처리체, 예를 들면 반도체 웨이퍼(이하, 「웨이퍼」라함) 등을 처리실내에서 플라즈마 처리하기 위한 장치로서, 고주파(RF)를 이용한 평행평판형(平行平板形)의 플라즈마 처리장치가 널리 채용되고 있고, 이것은, 평행평판 전극의 어느 한쪽 또는 양쪽에 고주파를 인가하므로써, 양전극간에 플라즈마를 생성시키고, 이 플라즈마와 피처리체와의 사이의 자기 바이어스 전위차에 의해, 피처리체의 처리면에 플라즈마의 흐름을 입사시켜서, 가령 처리체를 에칭처리하도록 구성되어 있다.
그러나, 평행평판형 플라즈마 처리장치와 같은 종래형의 플라즈마 처리장치에서는, 반도체 디바이스의 초고집적화에 따라서 요구되는 서브미크론 단위, 더 나아가서는 서브 하프 미크론 단위의 초미세가공을 실시하는 것은 곤란하다.
즉, 이러한 프로세스를 플라즈마 처리장치에 의해 실시하기 위해서는, 저압 분위기에 있어서, 고밀도의 플라즈마를 높은 정밀도로 제어하는 것이 중요하며 더 나아가서 그 플라즈마는 대구경 웨이퍼에도 대응할 수 있도록, 큰 면적으로 높은 균일도를 가질 필요가 있다. 또 전극을 이용한 플라즈마 처리장치에서는, 플라즈마 발생시에 전극자체가 중금속 오염의 발생원이 되어 버리며, 특히 초미세 가공이 요구되는 경우에는 중금속 오염이 문제가 되고 있다.
이러한 기술적 요구에 대하여, 마그네트론 플라즈마를 이용한 에칭이나 막형성수단이 있는데, 손상 등의 점에서 개선의 여지가 있다. 그래서 손상이 없는 새로운 플라즈마원을 확립하기 위해, 지금까지도 많은 접근법이 다양한 각도에서 이루어지고 있고, 예를 들면 유럽 특허 공개 명세서 제379828호에는, 고주파 안테나를 이용하는 고주파 유도 플라즈마 발생장치가 개시되어 있다.
이 고주파 유도 플라즈마 발생장치는, 웨이퍼 얹어놓는대와 대향하는 처리실의 한면을 석영유리 등의 절연체로 구성하고, 그 외벽면에 가령 소용돌이 코일로 이루어지는 고주파 안테나를 부착하고, 이 고주파 안테나에 고주파 전력을 인가하므로써 처리실 내에 고주파 전자장을 형성하고, 이 전자장 공간 내를 흐르는 전자를 처리가스의 중성입자에 충돌시켜서 가스를 전리(電離)시키고, 플라즈마를 생성하도록 구성되어 있다.
그런데, 상기와 같은 고주파 유도방식의 플라즈마 처리장치를 이용하여 플라즈마 처리를 하는 경우에는, 처리용기 내에서 야기되는 플라즈마 밀도가 특히 반경방향에 대해서 불균일해지기 쉽다. 따라서 서브하프 미크론의 초고정밀도의 플라즈마 처리를 하는 경우에는, 처리용기 내에 고밀도로 균일하고, 동시에 재현성이 있는 플라즈마를 일으킬 필요가 있으므로, 고주파 유도방식의 플라즈마 처리장치에 있어서, 처리용기 내의 플라즈마 밀도를 높은 정밀도로 균일하게 하는 기술의 확립이 급선무가 되고 있다.
본 발명은, 고주파 유도 플라즈마 처리장치를 이용한 경우에는, 고밀도이며, 고균일하고 동시에 재현성이 높은 플라즈마를 고정밀도로 제어할 수 있고, 예를 들면 에칭처리에 이용한 반응속도의 균일화에 기여하고, 피처리체의 중심부와 주변부를 비교해 보아도, 반응속도에 차가 없는 플라즈마처리를 하는 신규이면서 개량된 플라즈마 처리장치 및 플라즈마 처리방법을 제공하는 것을 목적으로 한다.
본 발명에 의하면, 피처리체가 수납되는 챔버와, 챔버 내에 처리가스를 공급하는 가스 공급부와, 피처리체를 처리하기 위해 챔버 내에 공급되는 처리가스를 발생하기 위해 챔버 내외의 적어도 한쪽에 피처리체에 대향하는 위치에 설치되는 고주파 안테나와, 고주파 안테나에 고주파 전력을 공급하는 고주파 전원과, 피처리체 상에서의 전계를 균일하게 하기위해 피처리체와 대향하고 동시에 고주파 안테나와는 절연하여 설치되고, 기준전위로 설정되는 전극에 의해 구성되는 플라즈마 처리장치가 제공된다.
본 발명에 의하면, 피처리체가 수납되는 챔버와, 챔버 내의 피처리체상에서 처리가스의 흐름이 균일하게 되도록 피처리체의 중앙부로 처리가스를 공급하는 가스 공급부와, 피처리체를 처리하기 위해 챔버 내에 공급되는 처리가스를 생성하기 위해 챔버 내외의 적어도 한쪽에 피처리체에 대향하는 위치에 설치되는 고주파 안테나와, 고주파 안테나에 고주파 전력을 공급하는 고주파 전원에 의해 구성되는 플라즈마 처리장치가 제공된다.
본 발명에 의하면, 피처리체가 수납되는 챔버 내에 처리가스를 공급하는 단계와, 피처리체를 처리하기 위해 챔버 내에 공급되는 처리가스를 생성하기 위해 챔버 내외의 적어도 한쪽에 피처리체에 대향하는 위치에 설치되는 고주파 안테나에 고주파 전력을 공급하는 단계와, 피처리체 상에서의 전계를 균일하게 하기 위해 피처리체의 중앙부 위에 설치하는 전극에 접지 전위를 인가하는 단계에 의해 구성되는 플라즈마 처리방법이 제공된다.
본 발명에 의하면, 챔버 내에 수납된 피처리체 상에서 처리가스의 흐름이 균일하게 되도록 피처리체의 중앙부에 처리가스를 공급하는 단계와, 피처리체를 처리하기 위해 챔버 내에 공급되는 처리가스를 생성하도록 챔버 내외의 적어도 한쪽에 피처리체에 대향하는 위치에 설치되는 고주파 안테나에 고주파 전력을 공급하는 단계에 의해 구성되는 플라즈마 처리방법이 제공된다.
[실시예]
이하에 첨부도면을 참조하면서 본 발명에 의거하여 구성된 플라즈마 처리장치의 적절한 실시예에 관하여 설명한다. 제1도에 나타내는 플라즈마 에칭장치(1)는, 도전성재료, 예를 들면 알루미늄 등으로 이루어지는 원통 또는 직사각형상의 각통형상으로 성형된 처리용기(2)를 가지고 있으며, 소정의 에칭처리는, 이 처리용기(2) 내에 형성되는 처리실(2a)내에서 이루어진다.
처리용기(2)는 접지되어 있으며, 게다가 그 바닥부에는 세라믹 등의 절연판(3)을 통하여, 피처리체, 예를 들면 반도체 웨이퍼(W)를 얹어놓기위한 거의 원기둥형상의 얹어놓는대(4)가 설치되어 있다. 또, 얹어놓는대(4)의 웨이퍼(W)의 얹어놓는면과 거의 대향하는 처리용기(2)의 천정판부에는, 예를 들면 석영유리나 세라믹으로 이루어지는 절연재(5)가 기체밀폐적으로 설치되어 있고, 이 절연재(5)의 외벽면에는 도체, 예를 들면 동판, 알루미늄, 스테인레스 등을 소용돌이형상, 코일형상, 또는 루프형상으로 형성한 고주파 안테나(6)가 배치되어있다. 이 안테나(6)는 플라즈마를 생성하기 위한 안테나 작용을 나타내는 기능이 있으면 좋고, 주파수가 높아지면 1턴이라도 좋다.
이 고주파 안테나(6)의 양단자, 즉 단자(6a) 및 단자(6b) 사이에는, 제2도에 나타내는 바와 같이, 각각의 단자(6a), (6b)가 매칭회로(8)를 통하여 플라즈마 생성용의 고주파 전원(7)에 접속되어 있다. 따라서, 매칭회로(8)에 의해서 전류의 값을 조정하므로써, 안테나(6)를 흐르는 전류의 크기에 따른 교번전계, 즉 플라즈마 밀도를 조정하는 것이 가능하게 되어 있다.
얹어놓는대(4)는, 알루미늄 등에 의해 원기둥형상으로 성형된 서셉터지지대(4a)와, 이 위에 볼트(4b) 등에 의해 떼고 붙이기가 자유롭게 설치된 알루미늄 등에 의해 이루어지는 서셉터(4c)에 의해 주로 구성되어 있다. 이와 같이 서셉터(4c)를 떼고 붙이기가 자유롭게 구성하므로써, 관리유지등을 용이하게 실시할 수가 있다.
서셉터 지지대(4a)에는, 온도 조절기, 예를 들면 냉각 재킷(11)이 설치되어 있고, 이 재킷(11)에는 가령 액체질소 등의 냉매가 냉매원(12)으로 부터 냉매 도입관(13)을 통하여 도입된다.
액체질소가 냉각 재킷(11) 내를 순환했을 때의 열교환작용에 의해 기화되어 얻어지는 질소가스는, 냉매 배출관(14)로 부터 처리용기(2) 외로 배출된다. 이러한 구성에 의해, 예를 들면 -196℃의 액체질소의 냉열이 냉각재킷(11)으로 부터 서셉터(4c)를 통하여 반도체 웨이퍼(W)에 까지 전열되고, 그 처리면을 소망하는 온도까지 냉각하는 것이 가능하다.
또, 거의 원기둥 형상으로 성형된 서셉터(4c)의 윗면의 웨이퍼 얹어놓는부에는, 정전척(15)이 웨이퍼 면적과 거의 같은 면적으로 형성되어 있다. 이 정전척(15)은, 예를 들면 2매의 고분자 폴리이미드 필름사이에 동박 등의 도전막(16)을 절연상태로 끼워넣으므로써 형성되고, 이 도전막(16)은 리드선에 의해 가변직류 고압전원(17)에 접속되어 있다. 따라서 이 도전막(16)에 고전압을 인가하므로써, 정전척(15)의 윗면에, 반도체 웨이퍼(W)가 쿨롱의 힘에 의해 흡착유지된다.
게다가, 정전척(15)과 냉각재킷(11)과의 사이의 서셉터(4c) 하부에는, 습도조절용 히터(18)가 설치되어 있고, 이 습도조절용 히터(18)에 전력원(19)으로 부터 공급되는 전력을 조정하므로써, 냉각재킷(11)으로 부터의 냉열의 전도를 제어하고, 반도체 웨이퍼(W)의 피처리면의 온도조절을 할 수가 있다.
서셉터 지지대(4a) 및 서셉터(4c)에는, 이들을 관통하여 He 등의 열전달가스(백쿨링 가스(back cooling gas))를, 가스원(20)으로 부터 반도체 웨이퍼(W)의 이면이나 서셉터(4c)를 구성하는 각 부재의 접합부등에 공급하기 위한, 가스유로(流路)(21)가 형성되어 있다. 또, 서셉터(4c)의 위끝단 둘레부에는, 반도체 웨이퍼(W)를 둘러싸듯이 고리형상의 포커스링(22)이 배치되어 있다. 이 포커스링(22)은, 반응성 이온을 끌어당기지 않는 고저항체, 예를 들면 세라믹이나 석영유리 등으로 이루어지고, 반응성 이온을 내측의 반도체 웨이퍼(W)에만 효과적으로 입사시키도록 작용한다.
게다가, 서셉터(4c)는, 매칭용 콘덴서(23)를 통하여 고주파 전원(24)에 접속되어 있고, 처리시에는 가령 2MHz의 고주파 전력이 서셉터(4c)에 인가되므로써, 서셉터(4c)와 플라즈마와의 사이에 바이어스 전위가 발생하고, 플라즈마류가 피처리체의 처리면에 효과적으로 끌어당겨진다.
한편, 처리용기(2)의 절연재(5)에는, 고주파 안테나(6)의 중심부분에, 이 절연재(5)를 기밀하게 관통하는, 도전체 또는 반도체로 이루어지는 접지전극(31)이 설치되어 있다. 이 접지전극(31)의 재질로서는, 예를 들면 처리용기(2)를 구성하는 재질과 같은 알루미늄을 이용할 수가 있는데, 그 외에, 반도체 웨이퍼(W)와 같은 재질인 Si 단결정이나, 나아가서는 SiC, 혹은 C를 이용하면, 중금속오염을 방지할 수가 있다.
이 접지전극(31)은, 가변부하 회로장치(32)를 직렬로 통하여 접지되어있다. 가변부하 회로장치(32)는, 그 부하를 조정하므로써, 접지전극(31)의 전위를 제어하는 것이 가능하게 되어 있고, 이에 의해 반도체 웨이퍼(W)의 중앙부에 생기는 바이어스 전계의 강도가, 주변부의 전계강도와 같아지도록 조정할 수 있다. 따라서, 처리용기(2) 내에 발생하는 플라즈마의 바이어스의 전계가 균일해지도록 제어할 수 있다.
또한, 피처리체인 반도체 웨이퍼(W)가 8인치인 경우, 접지전극(31)의 직경은 80mm 정도로 설정되어 있다. 이 접지전극(31)의 크기는, 처리내용등, 필요에 따라서 적절히 선택되어, 예를 들면 피처리체보다도 큰 접지전극을 설치해도 좋다. 또, 접지전극(31)의 아랫면(31a)은, 절연재(5)의 표면과 일치하도록 성형되어 있다.
접지전극(31)의 내부에는, 처리가스의 공급유로(33)가 수직으로 형성되어 있고, 이 공급유로(33)의 출구, 즉 처리실(2a)측의 개구부가 공급구(33a)를 구성하고, 한편, 공급유로(33)의 입구, 즉 처리용기(2)의 바깥측의 개구부가 도입구(33b)를 구성하고 있다. 도입구(33b)에는, 도전성의 제1 공급관(34)이 접속되고, 게다가 이 제1 공급관(34)에는, 절연부재(35)를 통하여 제2 공급관(36)이 접속되어 있다. 제2 공급관(36)은 접지되어 있다. 이와 같이 제1 공급관(34)이 절연부재(35)를 통하므로써, 제1 공급관(34)이 받는 고주파가 절연부재(35)에 의해 차단되며, 이에 의해 접지전극(31)의 작용효과를 안정화할 수 있다.
제2 공급관(36)은, 밸브(37), (38), 매스플로우 컨트롤러(mass flow controller) (39),(40)를 통하여, 처리가스 공급원(41), (42)에 접속되어 있다. 본 실시예에 있어서는, 처리가스 공급원(41)으로 부터는 C4F8가스가, 처리가스 공급원(42)으로 부터는 H2가스가 각각 공급된다.
한편,서셉터(4c)의 위쪽에 있어서의 처리용기(2)의 측면에는, 석영유리 또는 세라믹스 등으로 이루어지는 가스 공급관(43)이 부착되어 있다. 이 가스 공급관(43)도, 밸브(44), (45), 매스플로우 콘트롤러(46), (47)를 통하여, 처리가스 공급원(41), (42)에 접속된다.
또, 처리용기(2)의 바닥부는 배기관(51)이 접속되고, 이 처리용기(2)내의 분위기가 제3도에 나타낸 바와 같이, 진공 배기밸브(73)를 통하여, 진공펌프(52)에 의해 배출할 수 있고, 그에 의해 처리실(2a)의 분위기가 임의의 감압도에까지 진공흡인할 수 있다.
다음에, 상기와 같이 구성된 플라즈마 에칭장치(1)의 제어계의 구성을 설명한다.
처리용기(2)의 한쪽의 측벽에는 석영유리등의 투명한 재료로 구성되는 투과창(53)이 부착되어 있다. 처리실(2a) 내의 빛은 광학계 장치(54)를 통하여 광학센서(55)에 보내지고, 처리실(2a) 내로 부터 발생하는 발광스펙트럼에 관한 신호가 광학센서(55)로 부터 제어기(56)에 보내진다. 또, 처리용기(2)에는 처리실 내의 압력 등의 여러 조건의 변화를 검출하기 위한 센서(57)도 부착되어 있고, 처리실(2a) 내의 압력에 관한 신호가 센서(57)로 부터 제어기(56)에 보내진다.
제어기(56)는, 광학센서(55), 센서(57)로 부터의 피드백 신호 또는 미리 설정된 설정값에 의거하여, 플라즈마 발생용의 고주파 전원(7), 가변부하 회로장치(32), 바이어스용의 고주파 전원(24), 냉매원(12), 온도조절용의 전원(19), 백쿨링용의 가스원(20) 등에 제어신호를 보내고, 예를 들어 본 발명에 입각하여 말한다면, 접지전극(31)의 전위를 가변 제어하므로써, 반응속도를 가변으로 제어하고, 이에 의해 장치의 동작환경을 최적으로 조정할 수가 있다. 게다가, 제어기(56)는, 처리가스용의 매스플로우 컨트롤러(39, 40, 46, 47)도 제어하도록 구성되어 있고, 따라서 접지전극(31)의 공급구(33a) 및 가스 공급관(43)으로 부터의 처리가스의 유량을 자유롭게 조정할 수 있다.
다음에, 플라즈마 에칭장치(1)의 주변기기 및 장치를 제3도에 의거하여 설명하면, 도시와 같이, 플라즈마 에칭장치(1)의 처리용기(2)의 한쪽의 측벽에는, 개폐가 자유롭게 설치된 게이트 밸브(61)를 통하여 인접하는 로드록실(62)이 접속되어 있다. 이 로드록실(62)에는, 예를 들면 알루미늄제의 아암을 도전성 테플론에 의해 코팅하여 정전대책이 이루어진 반송아암등으로 구성된 반송장치(63)가 설치되어 있다. 또 로드록실(62)에는, 바닥면에 설치된 배기구로 부터 배기관(64)이 접속되고, 진공배기밸브(65)를 통하여 진공펌프(52)에 의해 진공흡인할 수가 있다.
로드록실(62)의 측벽에는, 개폐가 자유롭게 설치된 게이트 밸브(66)를 통하여 인접하는 카세트실(67)이 접속되어 있다. 이 카세트실(67)에는, 카세트(68)를 얹어놓는대(69)가 설치되어 있고, 이 카세트(68)는, 예를 들면 피처리체인 반도체 웨이퍼(W) 25매를 하나의 로트로 하여 수납할 수가 있다. 또, 카세트실(67)에는, 바닥면에 설치된 배기구로 부터 배기관(70)이 접속되고, 진공배기 밸브(71)를 통하여 진공펌프(52)에 의해 실내를 진공흡인할 수가 있다. 또, 카세트실(67)의 다른 쪽의 측벽은, 개폐가 자유롭게 설치된 게이트 밸브(72)를 통하여 대기에 접하도록 구성되어 있다.
다음에, 상기와 같이 구성된 플라즈마 에칭장치(1)의 동작에 관하여 설명한다.
우선, 대기와의 사이에 설치된 게이트 밸브(72)를 개구하고, 피처리체인 반도체 웨이퍼(W)를 수납한 카세트(68)가 도시하지 않은 반송 로보트에 의해, 카세트실(67)의 얹어놓는대(69)의 위에 얹어놓이고, 게이트 밸브(72)가 폐쇄된다. 카세트실(67)에 접속된 진공배기 밸브(71)가 개구하고, 진공펌프(52)에 의해, 이 카세트실(67)이 소정의 진공 분위기, 예를 들면 1×10-1Torr까지 진공흡인된다.
이어서, 로드록실(62)과 카세트실(67)의 사이의 게이트 밸브(66)가 개방하고, 반송장치(63)에 의해 반도체 웨이퍼(W)가 카세트실(67)에 얹어놓인 카세트(68)로 부터 꺼내지고, 로드록실(62)로 반송되며, 게이트 밸브(66)가 폐쇄된다. 이어서 로드록실(62)에 접속된 진공배기 밸브(65)가 개방하고, 진공펌프(52)에 의해 로드록실(62)이 소정의 진공분위기, 예를 들면 1×10-5Torr까지 진공흡인된다.
이어서, 로드록실(62)과 처리용기(2)와의 사이의 게이트 밸브(66)가 개방하고, 반송장치(63)에 의해 반도체 웨이퍼(W)가 이 처리용기(2)의 처리실(2a) 내로 반송되고, 서셉터(4c)위의 도시하지 않은 푸셔핀에 받아넘겨지며, 반송장치(63)가 로드록실(62)에 대피한 후, 게이트 밸브(66)가 폐쇄된다. 그 후, 정전척(15)에 고압직류 전압을 인가하여, 푸셔핀을 내리고 반도체 웨이퍼(W)를 이 정전척(15)위에 얹어놓으므로써, 반도체 웨이퍼(W)가 서셉터(4c) 위에 얹어놓아 고정된다. 그러는 동안, 처리용기(2) 내는, 진공배기 밸브(73)를 개방하므로써, 진공펌프(44)에 의해 소정의 진공분위기, 예를 들면 1×10-5Torr로 배기되고 있다.
게다가, 반도체 웨이퍼(W)의 이면 및 얹어놓는대(4)의 각 접합부에 전열용의 백쿨링용가스를 공급하면서, 냉각재킷(11)으로 부터 냉열을 공급하고, 반도체 웨이퍼(W)의 처리면을 소망의 온도에 까지 냉각한다. 그러한 후, 처리실(2a) 상부의 접지전극(31)의 공급구(33a), 및 처리실(2a) 위쪽측부의 가스 공급관(43)을 통하여 C4F8, H2의 각 가스를 각각 소정의 유량처리실(2a) 내에 도입한다.
더미웨이퍼를 이용하여 미리 구해진 최적인 에칭속도를 얻기 위하여 최적인 압력 분위기에 도달한 것이, 센서(57)에 의해 검출된 후, 고주파전원(7)으로 부터 매칭회로(8)를 통하여 고주파 안테나(6)에, 예를 들면 13.56MHz의 고주파 전력을 인가하므로써 처리실(2a) 내에 플라즈마가 여기되고, 게다가 얹어놓는대(4)에 고주파전원(24)에 의해 바이어스 전위가 걸리므로써, 반도체 웨이퍼(W)가 에칭처리된다. 또한 그 때에, 처리실(2a)의 내벽이 50℃~100℃, 바람직하게는 60℃~80℃로 가열되므로써, 처리용기(2)의 내벽으로의 반응생성물의 부착이 방지될 수 있다.
에칭처리시에는, 처리용기(2) 내의 환경을 감시하고 있는 광학센서(55), 센서(57)로 부터의 피드백신호, 또는 미리 설정된 값에 의거하여, 제어기(56)로 부터 고주파 전원(7), 매칭회로(8), 또는 가변부하 회로장치(10), 바이어스 전위용의 고주파전원(24)에 제어신호가 보내지고, 고주파 안테나(6)의 전위가 제어되며, 또는 접지전극(31)의 전위가 제어되고, 처리용기(2) 내의 플라즈마가 고밀도이면서 균일한 상태로 유지되며, 최적인 처리조건으로 유지된다. 또한, 제어기(56)에 의해 소정의 에칭이 종료했다고 판단되면, 고주파 에너지의 인가가 정지됨과 동시에 처리가스의 공급도 정지되고, 플라즈마 처리동작이 종료한다.
에칭 처리에 있어서는, 고주파 안테나(6)의 중심부분, 즉 반도체 웨이퍼(W)의 중앙부에 대향한 부분에, 접지전극(31)이 설치되어 있으므로, 중앙부의 바이어스 전계가 강화되고, 주변부와 동등한 전계가 얻어진다. 따라서, 우선 이 점으로 부터, 에칭 처리의 균일성의 향상이 도모되고 있다.
게다가 이 접지전극(31)에는, 처리가스의 공급유로(33)가 형성되고, 처리가스는, 이 공급유로(33)로 부터 공급구(33a)를 통하여 처리실(2a)내에 도입되고, 반도체 웨이퍼(W)위에 토출되어 있다. 처리가스는 반도체 웨이퍼(W)의 중심으로 부터 주변방향으로 방향이 정해지고, 그 결과, 반도체 웨이퍼(W)위를 균일하게 흐른다. 그렇기 때문에 본 실시예에 있어서는, 가스의 흐름의 균일성의 점에서도, 에칭처리의 균일성의 향상이 도모되고 있다.
게다가 또 본 실시예에 있어서는, 처리실(2a)내의 측부 위쪽에 위치하는 가스 공급관(43)으로 부터도 처리가스를 도입하는 것이 가능하게 되어 있으므로, 보다 미세한 가스류의 조정이 가능하며, 더 한층 에칭 처리의 균일성의 향상을 실현할 수가 있다.
따라서, 본 실시예에 관한 에칭장치(1)에 의하면, 이상의 각 점으로부터, 에칭처리의 균일성의 향상이 도모되고 있고, 예를 들면 종래 이런 종류의 유도 플라즈마 방식의 장치에 있어서 보여진, 반도체 웨이퍼(W)의 주변부의 쪽에 치우쳐서 에칭률이 높아지고 있었던 것이 대폭으로 개선될 수 있고, 생산수율의 향상을 도모하는 것이 가능해지고 있다.
또한, 상기 실시예에서는, 처리실(2a)내의 측부 위쪽에도 가스 공급관(43)을 설치하고 있었는데, 물론 그러한 가스 공급관(43)으로 부터 처리가스를 공급하지 않아도, 접지전극(31)에 설치한 공급유로(33)의 공급구(33a)로 부터의 처리가스의 도입에만 의해서도, 종래보다 대폭으로 가스류의 균일성을 실현하고, 처리의 균일성을 향상시키는 것이 가능하다.
상기 실시예에 있어서는, 공급유로(33)의 공급구(33a)는 단일한 것으로서 구성되어 있는데, 물론 이른바 샤워헤드의 형태로 구성해도 좋다. 제4도는, 그러한 샤워 헤드의 형태를 채용한 접지전극을 이용한 것 외의 실시예에 관한 플라즈마 에칭장치(81)의 요부의 단면을 모식적으로 나타내고 있고, 이 플라즈마 에칭장치(81)의 처리용기(82)의 천정판 부분에도, 절연재(83)가 기체밀폐적으로 설치되고, 플라즈마 여기용의 고주파 안테나(84)가, 이 절연재(83)의 윗면에 배치되어 있다.
고주파 안테나(84)의 중심부분에 있어서의 절연재(83)에는, 접지전극(85)이 기체밀폐적으로 관통하여 설치되어 있다. 이 접지전극(85)은, 가변부하 회로장치를 통하지 않고 직접 GND에 접속되어 있고, 또 그 내부에는 중공부(86)가 형성되고, 게다가 해당 중공부(86)의 하측에는, 이 중공부(86)와 통하는 복수의 공급구(87)가, 예를 들면 방사형상, 동심원형상으로 형성되어 있다. 또 중공부(86)의 상측에는, 이 중공부(86)에 통하는 공급유로(88)가 형성되어 있으며, 해당 공급유로(88)의 윗면은, 도입구(88a)를 구성하고 있다.
도입구(88a)에는, 도전성의 제1 공급관(89)이 접속된다. 이 제1 공급관(89)은, 절연부재(90)를 통하여, 도전성을 가지는 제2 공급관(91)에 접속된다. 이 제2 공급관(91)은 접지됨과 동시에, 메인밸브(92)를 통하고, 게다가 밸브(93), (94), 매스플로우 컨트롤러(95), (96)를 통하여, 처리가스 공급원(97), (98)에 접속된다.
이 플라즈마 에칭장치(81)의 요부는 이상과 같이 구성되어 있으며, 제1 실시예에 관한 플라즈마 에칭장치(1)와 마찬가지로, 접지전극(85)의 존재에 의해, 바이어스전계의 중앙부가 강화되고, 그에 의해서 피처리체인 반도체 웨이퍼(W)의 중앙부의 에칭률도 개선되며, 전체적으로 에칭의 면내 균일성의 향상이 도모된다.
또한 처리가스공급원(97,98)으로부터 처리용기(82)내에 도입되는 처리가스는, 접지전극(85)에 형성한 다수의 공급구(87)로부터, 대향배치되어있는 반도체 웨이퍼(W)의 피처리면에 균일하게 공급되므로, 반도체 웨이퍼(W)상의 가스흐름의 방향이 설정되고, 그 결과, 가스흐름이 균일화된다. 따라서, 이러한 점으로부터도 에칭처리의 균일화는 크게 향상하고 있다. 또한 상기한 공급구(87)는, 모두 동일지름일 필요는 없고, 예를 들면 중심부가 가장 크고, 둘레부에 가까울수록, 그 지름이 작아지도록 설정하여도 좋다. 또한 공급구(87)의 분포를, 피처리면보다도 크게 분포하도록 설치함으로써, 균일한 가스공급을 도모할 수 있다.
다음으로, 제5도를 참조하여 다른 실시예를 설명한다. 이 실시예에 의하면, 반도체 웨이퍼(103)가 높이는 얹어놓는 대(102)가 수납되는 처리용기(101)의 상부에 유전성 천정판(104)이 O링(105)을 통해서 기체밀폐적으로 배치된다. 이 천정판(104)의 중앙에 접지전극(104a)이 유전성 천정판(104)과 면일하게 되도록 기체밀폐적으로 부착되어 있다. 접지전극(104a)은 반도체 웨이퍼(103)의 직경이상의 사이즈로 형성된다. 이 천정판(104)과 유도코일(106)과의 사이에 정전(靜電)시일드판(107)이 배치된다. 유도코일(106)은 고주파전원(108)과 접지끝단자와의 사이에 접속되고, 천정판(104)의 접지전극(104a) 및 정전시일드판(107)은 접지끝단자에 접속된다. 이 정전시일드판(107)은 제6(a)도 또는 제6(b)도에 나타나도록 슬릿을 설치한 원판형상의 시일드판에 의해서 구성할 수 있다.
이 실시예와 같이 정전시일드판(107)이 설치되어 있지 않은 경우에는, 유도코일(106)에 고주파전력이 고주파전원(108)으로부터 공급되었을 때, 유도코일(106)이 생성하는 고주파전계가 접지전극(104a)에 결합하고, 이 전계에 의해서 플라즈마 중 양이온이 유전성 천정판(104)을 스패터하며, 그것에 의해서 처리용기(101)내에 먼지가 발생하고, 이 먼지가 반도체 웨이퍼(103)에 부착한다. 그러나, 접지전위에 설정된 정전시일드판(107)이 천정판(104)과 유도코일(106)과의 사이에 설치됨으로써, 유도코일(106)에 의해서 생성되는 전계가 정전시일드판(107)에 의하여 시일드되므로, 상기와 같은 스패터의 발생을 방지할 수 있다.
제7도는, 상기 실시예에 설치된 안테나가 더블 안테나구조로 된 예를 나타내고 있다. 즉, 안테나(120)가 동일축에, 또한 바람직하게는 동일평면에 배치된 2개의 링형상 안테나(120a) 및 (120b)에 의해서 구성된다. 외측 안테나(120a)의 끝단자 사이에는 매칭회로로서의 캐패시터(12a)를 통해서 제1 고주파전원(122a)이 접속된다. 내측 안테나(120b)의 끝단자 사이에는 매칭회로로서의 캐패시터(121b)를 통해서 제1 고주파전원(122b)이 접속된다.
제1 및 제2 고주파전원(122a) 및 (122b)은 동일주파수(예를 들면 13.56MHz)이며, 또한 같은 위상의 제1 및 제2 고주파전력을 외측 및 내측 안테나(120a,120b)로 각각 공급한다. 이 경우, 제1 고주파전력에 대하여 제2 고주파 전력이 낮은 값으로 설정된다. 이 때, 외측안테나(120a)에는 내측 안테나(120b)보다 큰 고주파전류가 흐른다. 이 때, 안테나 바로 아래의 챔버내 공간에서의 플라즈마 생성영역은 앞의 실시예와 같은 싱글 안테나에 의한 플라즈마 생성영역보다도 외측에 시프트된다. 따라서, 플라즈마밀도가 상기 실시예보다도 한층 더 균일화된다.
제9도는, 제8도에 나타낸 더블 안테나를 이용한 다른 실시예를 나타내고 있다. 이 실시예에 의하면, 플라즈마 처리장치의 챔버(115)의 바닥면 및 측면은 알루미늄으로 구성되고, 천정판(131)은 석영유리로 이루어진 원통형상의 밀페용기로 구성되어 있다. 천정판(131)의 중앙에는, 접지전극(131a)이 기체밀폐적으로 설치되어 있다.
챔버(115)의 바닥면 중앙부에는 원주형상의 세라믹 또는 석영 등의 절연물로 구성되는 지지부재(132)가 배열설치되어 있다. 이 지지부재(132)의 윗끝단에는 예를 들면 알루미늄으로 이루어진 원반형상의 전극 기초대(133)가 설치되고, 이 기초대(133)의 윗면에는 석영 또는 세라믹 등의 절연부재로 이루어진 웨이퍼 얹어놓는 대(134)가 설치되어 있다.
상기 챔버(115)의 천정판(131)의 외측 벽면에는, 원반형상의 정전시일드를 행하기 위해서, 예를 들면, 알루미늄으로 이루어진 상자성(常磁性) 금속(135)이 설치되고, 이 상자성 금속(135) 위에는, 내측의 1번 감은 고주파코일(136)과 외측(2)의 1번 감은 고주파 코일(137)이 절연물을 통해서 배치되어 있다. 이 상자성 금속(135)은 접지되고, 내측의 1번 감은 고주파코일(136)과 외측의 1번 감은 고주파 코일(137)에 가해지는 전압에 의해서, 이들 고주파코일과 플라즈마와의 사이에 기생적으로 존재하는 용량성 결합을 방지한다. 내측의 고주파코일(136)과 외측의 고주파코일(137)은 제7도의 더블 안테나와 같은 평면구성을 나타내고 있다.
전극 기초대(133)에는 하부전극(152)의 비접지측 끝단자가 캐패시터(153)를 통해서 접속되어 있다. 또한, 전극 기초대(133)와 웨이퍼 얹어놓는 대(134)와의 사이에는, 정전척용 전극(154)이 매설되어 있다. 이 전극(154)은 직류전원(163)에 의해서 양의 전위로 유지되어 있다. 또한, 웨이퍼 얹어놓는 대(134)에는 웨이퍼(164)가 얹어놓인다.
가스도입관(gas pipe)(165)이 챔버(115)의 측면상부를 기체밀폐적으로 관통하고, 샤워 헤드(166)에 접속되어 있다. 또한, 챔버(115)의 측면하부에는, 가스 배출관(167)이 설치되어 있다. 챔버(115)의 측면상부에는 통형상의 케이싱(168)의 아래끝단부가 고정되고, 그 윗끝단부에는 임피던스 정합을 취하기 위한 용량성 회로에 의해서 구성되는 매칭박스(169)가 설치되어 있다. 이 매칭박스(169)를 통해서 13.56MHz의 고주파전력이 챔버(115)내의 내측 및 외측의 고주파코일(136) 및 (137)에 각각 공급되어 있다.
내측의 고주파코일(136)과 외측의 고주파코일(137)과의 사이에는 알루미늄 또는 동으로 이루어지는 원통형상의 전자시일드통(170)이 배설되어있다. 이 전자시일드통(170)은 내측의 고주파코일(136)과 외측의 고주파코일(137)과의 사이의 전계의 상호 간섭을 없애기 위해서 설치되어 있다. 또한, 이 전자시일드통(170)은 접지되어 있다.
다음으로, 제9도의 실시예의 동작에 대하여 설명한다.
처리를 해야할 반도체 웨이퍼(164)가 웨이퍼 얹어놓는 대(134)에 얹어 놓이고, 챔버(115)내가 가스 배기관(167)을 통해서 소정의 진공도에 배기되고, 가스공급관으로부터 소정의 처리가스가 소정의 압력·유량으로 챔버(15)내에 공급할 수 있는 상태를 만든다.
다음으로, ON/OFF 스위치(172)가 온되고, 13.56MHz, 3kW의 고주파전원(111)의 전력이 가변 2분배기(112)로 공급된다. 분배기(112)는 고주파전원(111)의 전력을 2개의 분배전력(P1) 및 (P2)로 분할하여 가변위상기(113)를 통해서 매칭박스(169)로 공급된다. 매칭박스(169)는 전력(P1) 및 (P2)를 각각 코일(136, 137)로 공급한다. 이것에 의해서 코일(136) 및 (137)에 고주파 여자(勵磁) 전류가 흐른다. 고주파코일(136,137)에 고주파전류가 흐르면, 고주파코일(136,136)의 회전에 교번자계가 발생하고, 그 자계의 대부분은 안테나(고주파코일(136,137))의 중심부를 세로방향으로 통하여 닫힌 루프를 형성한다. 이와 같은 교번자계에 의해서 고주파코일(136,137)의 바로 아래에서 동심원형상으로 원둘레방향의 교번전계가 유기되고, 이 교번전계에 의해서 원둘레방향으로 가속된 전자가 처리가스의 중성입자에 충돌함으로써, 가스가 전리되고, 플라즈마(P)가 생성된다. 이 때, 플라즈마에 함유되는 이온, 전자와 그 이외의 활성종이 반도체 웨이퍼(164)의 표면전체에 균일하게 공급 또는 조사되고, 웨이퍼(164)의 표면전체에 균일하게 소정의 플라즈마처리가 행하여진다.
또한, 처리의 종류, 즉 제전(除電)처리, 세정처리, 에칭처리 등에 의해서 플라즈마의 분포를 바꿀 필요가 있는 경우에, 처리의 종류에 따라서 가변위상기(113)에 의해서 전력(P1) 및 (P2)의 위상을 바꿈으로써, 챔버(115)내에 유기되는 전계의 밀도분포가 반도체 웨이퍼(164) 위에서 한층 더 균일화되고, 에칭처리의 균일성이 한층 더 향상한다. 또한, 앞의 실시예와 같이 접지전극(131a)에 처리가스 공급유로를 설치하고, 이 공급로를 통해서 처리가스를 도입함으로써 대폭적으로 가스류의 균일성을 실현할 수 있고, 그에 따라서 처리의 균일성을 향상시키는 것이 가능하다.
이상, 본 발명의 적절한 실시예에 대하여, 플라즈마 에칭장치를 예를 들어서 설명하였으나, 본 발명은 이러한 실시예에 한정되지 않고, 플라즈마 애싱장치, 플라즈마 스패터장치 등의 다른 플라즈마 처리장치에도 적용하는 것이 가능하고, 피처리체에 대하여도 반도체 웨이퍼에 한정되지 않고 LCD기판에도 적용가능하다.
이상 설명한 바와 같이 본 발명에 의하면, 유도플라즈마방식의 플라즈마 처리장치에, 접지전극을 설치하여 전계의 강도분포를 변화시킬 수 있으므로, 반응속도의 균일화에 기여하고, 균일한 처리를 피처리체에 설치하는 것이 가능하다. 예를 들면 에칭처리에 이용한 경우에, 중심부의 에칭율의 저하를 시정하고, 피처리체의 중심과 주변에서 에칭율이 변함없는 에칭처리를 실시할 수 있다.
접지전극이 고주파 안테나의 중심부에 설치되어 있으므로, 플라즈마의 생성자체에 영향을 주지 않고, 중심부분의 바이어스전계를 강하게 할 수 있고, 피처리체의 중심부의 반응속도를 높일 수 있다. 또한, 바이어스전계를 임의로 또한 연속하여 변화시킬 수 있으므로, 소망하는 전계강도분포를 실현하는 것이 용이하다.
또한, 접지전극에 처리가스 공급구가 설치되므로, 피처리체상에서의 처리가스의 흐름을, 예를 들면 중심부로부터 주변부로 방향을 설치하는 것이 가능하고, 피처리체에 대하여 균일한 처리를 실시할 수 있다. 또한 바이어스 전계의 강도분포를 개선시키고, 이것을 균일하게 할 수 있으므로, 이 점으로부터도 처리 균일성의 향상을 도모할 수 있다.
또한, 접지전극이 처리실 내벽에 면일하게 형성됨으로써, 접지전극이 플라즈마의 생성, 분포에 영향을 주지 않고, 또한 처리의 균일성의 향상을 도모할 수 있다. 또한, 접지전극에 여러개의 공급구가 설치됨으로써, 여러개의 공급구로부터 처리가스가 처리실내에 도입되므로, 한층 더 가스흐름의 균일화를 도모하여 처리를 균일하게 할 수 있다. 접지전극을 처리가스 공급로와는 독립하여 접지함으로써, 생성한 플라즈마, 바이어스전계가 보다 안정되게 되고, 한층 안정된 균일한 처리를 실시할 수 있다.
또한, 접지전극을 가변부가 회로장치를 통해서 접지함으로써, 바이어스 전계분포를 임의로 조정할 수 있다. 따라서, 보다 세밀한 처리의 미세한 조정이 가능하게 된다. 가스공급구가 윗쪽 및 측방향에 설치함으로써, 윗쪽 및 측방향으로부터의 2개의 방향으로부터 처리실내에 처리가스를 도입할 수 있으므로, 예를 들어 가스의 흐름에 불균일한 점이 발생하여도, 이것을 보상하여 균일한 것으로 할 수 있다.

Claims (11)

  1. 피처리체가 수납되며, 천정을 가진 처리실과, 상기 피처리체에 대하여 상기 처리실내로 처리가스를 공급하는 가스공급관을 가지는 가스공급수단과, 소용돌이형상, 코일형상 및 루프형상 안테나 중 한 개이며, 상기 피처리체를 처리하기 위한 상기 처리실내에 공급되는 처리가스를 여기하기 위해서 상기 처리실의 상기 천정 내외의 한쪽에 상기 피처리체에 대향하는 위치에 설치되는 고주파안테나와, 상기 고주파안테나에 고주파전력을 공급하는 고주파전원, 및 상기 피처리체 상에서의 전계를 균일하게 하기 위해서 상기 고주파안테나의 중앙상의 상기 피처리체와 대향하고, 또한 상기 고주파안테나와는 절연하여 설치되고, 기준전위로 설정되는 전극을 구비하며, 상기 가스공급수단은, 상기 전극내에 설치되는 가스공급유로를 포함하고, 상기 가스공급관과 연결되는 것을 특징으로 하는 플라즈마 처리장치.
  2. 제1항에 있어서, 상기 처리실의 상기 천정은 유전성 판으로 형성되는 것을 특징으로 하는 플라즈마 처리장치.
  3. 제2항에 있어서, 상기 전극은 접지극에 접속되는 것을 특징으로 하는 플라즈마 처리장치.
  4. 제3항에 있어서, 상기 전극과 상기 접지극 사이에 접속되고, 상기 전극의 전위를 제어하기 위한 기준전위 조정회로를 더욱 구비하는 것을 특징으로 하는 플라즈마 처리장치.
  5. 제2항에 있어서, 상기 전극은 상기 유전성 판과 면이 일치하게 되도록 상기 유전성 판상에 설치되는 것을 특징으로 하는 플라즈마 처리장치.
  6. 제2항에 있어서, 상기 안테나와 상기 유전성 판과의 사이에 개재되는 정전시일드판을 더욱 구비하는 것을 특징으로 하는 플라즈마 처리장치.
  7. 제1항에 있어서, 상기 가스공급관은 피처리체의 중앙부에 상기 처리가스를 도입하기 위해서 상기 가스공급유로와 연결되는 공급구를 가지는 것을 특징으로 하는 플라즈마 처리장치.
  8. 제1항에 있어서, 상기 가스공급수단은 상기 가스공급 유로와 연결되는 중공부 및 상기 피처리체의 중앙부에 상기 처리가스를 도입하기 위해서 상기 중공부와 연결되는 여러개의 공급구를 가지는 것을 특징으로 하는 플라즈마 처리장치.
  9. 제1항에 있어서, 상기 안테나는 소용돌이형상 안테나, 코일형상 안테나 및 루프형상 안테나 중 한 개에 의해서 구성되는 것을 특징으로 하는 플라즈마 처리장치.
  10. 제1항에 있어서, 상기 안테나는 동축에 배치되는 여러개의 링형상 안테나소자에 의하여 구성되고, 상기 고주파전원은 상기 안테나소자와 동일주파수이며, 또한 동일위상인 두 개의 고주파전력을 각각 공급하는 것을 특징으로 하는 플라즈마 처리장치.
  11. 제10항에 있어서, 상기 안테나소자는 내측 안테나소자 및 외측 안테나소자를 가지며, 상기 고주파전원은 상기 외측 안테나에 상기 내측 안테나보다 큰 고주파전류를 공급하는 것을 특징으로 하는 플라즈마 처리장치.
KR1019950046779A 1994-12-05 1995-12-05 플라즈마 처리장치 및 플라즈마 처리방법 KR100274757B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP94-330245 1994-12-05
JP33024594 1994-12-05

Publications (2)

Publication Number Publication Date
KR960026343A KR960026343A (ko) 1996-07-22
KR100274757B1 true KR100274757B1 (ko) 2001-02-01

Family

ID=18230486

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950046779A KR100274757B1 (ko) 1994-12-05 1995-12-05 플라즈마 처리장치 및 플라즈마 처리방법

Country Status (3)

Country Link
US (1) US5685942A (ko)
KR (1) KR100274757B1 (ko)
TW (1) TW325582B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101477602B1 (ko) * 2012-10-30 2014-12-30 피에스케이 주식회사 기판 처리 장치
KR20220035230A (ko) * 2019-07-19 2022-03-21 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 플라즈마 차폐 장치가 있는 플라즈마 처리 시스템
KR20220041893A (ko) * 2019-12-31 2022-04-01 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 세라믹 공기 유입부 무선 주파수 연결형 세척 장치

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE40963E1 (en) * 1993-01-12 2009-11-10 Tokyo Electron Limited Method for plasma processing by shaping an induced electric field
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) * 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
TW409487B (en) 1998-04-10 2000-10-21 Sumitomo Metal Ind Microwave plasma treatment apparatus and microwave plasma treatment method
EP1073779A4 (en) * 1998-04-13 2007-05-30 Tokyo Electron Ltd IMPEDANCE CHAMBER REDUCED
KR100292411B1 (ko) * 1998-09-25 2001-06-01 윤종용 반도체소자의 제조에 사용되는 플라즈마 장비
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP3385528B2 (ja) * 1999-07-06 2003-03-10 日本電気株式会社 ドライエッチング装置とドライエッチング方法
FR2797997B1 (fr) * 1999-08-26 2002-04-05 Cit Alcatel Procede et dispositif pour le traitement de substrat sous vide par plasma
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US6482331B2 (en) * 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
JP4460803B2 (ja) * 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
KR100441654B1 (ko) * 2001-09-18 2004-07-27 주성엔지니어링(주) 웨이퍼 감지시스템
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20030087488A1 (en) * 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
JP3971603B2 (ja) * 2001-12-04 2007-09-05 キヤノンアネルバ株式会社 絶縁膜エッチング装置及び絶縁膜エッチング方法
JP3639268B2 (ja) * 2002-06-14 2005-04-20 株式会社日立製作所 エッチング処理方法
WO2004012229A2 (en) * 2002-07-31 2004-02-05 Tokyo Electron Limited Reduced volume, high conductance process chamber
JP3854909B2 (ja) * 2002-08-06 2006-12-06 株式会社日立製作所 プラズマ処理装置
US6939433B2 (en) * 2002-08-27 2005-09-06 Hitachi High-Technologies Corporation Sample processing apparatus and sample processing system
US6793765B1 (en) * 2002-08-29 2004-09-21 Advanced Micro Devices, Inc. Situ monitoring of microloading using scatterometry with variable pitch gratings
US7140374B2 (en) * 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US7335277B2 (en) * 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
JP4490704B2 (ja) * 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US7467916B2 (en) * 2005-03-08 2008-12-23 Asm Japan K.K. Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
JP4555864B2 (ja) * 2005-08-22 2010-10-06 トーカロ株式会社 熱放射特性等に優れる溶射皮膜被覆部材およびその製造方法
US8845866B2 (en) * 2005-12-22 2014-09-30 General Electric Company Optoelectronic devices having electrode films and methods and system for manufacturing the same
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US7850864B2 (en) * 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
US8187415B2 (en) 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7540971B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US7541292B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
EP1860680A1 (en) * 2006-05-22 2007-11-28 New Power Plasma Co., Ltd. Inductively coupled plasma reactor
KR100753868B1 (ko) * 2006-05-22 2007-09-03 최대규 복합형 플라즈마 반응기
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20080078506A1 (en) * 2006-09-29 2008-04-03 Zyvex Corporation RF Coil Plasma Generation
JP5506826B2 (ja) * 2009-02-10 2014-05-28 ヘリッセン,サール 大面積プラズマ処理装置
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
JP6126475B2 (ja) * 2013-07-02 2017-05-10 東京エレクトロン株式会社 基板処理装置
US9885493B2 (en) * 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
JP6240441B2 (ja) 2013-09-06 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US11056321B2 (en) * 2019-01-03 2021-07-06 Lam Research Corporation Metal contamination reduction in substrate processing systems with transformer coupled plasma
CN111081524B (zh) 2019-12-31 2022-02-22 江苏鲁汶仪器有限公司 一种可旋转的法拉第清洗装置及等离子体处理***
JP2021114568A (ja) * 2020-01-21 2021-08-05 東レエンジニアリング株式会社 プラズマ加工装置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101477602B1 (ko) * 2012-10-30 2014-12-30 피에스케이 주식회사 기판 처리 장치
KR20220035230A (ko) * 2019-07-19 2022-03-21 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 플라즈마 차폐 장치가 있는 플라즈마 처리 시스템
KR102656763B1 (ko) * 2019-07-19 2024-04-15 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 플라즈마 차폐 장치가 있는 플라즈마 처리 시스템
KR20220041893A (ko) * 2019-12-31 2022-04-01 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 세라믹 공기 유입부 무선 주파수 연결형 세척 장치
KR102667901B1 (ko) 2019-12-31 2024-05-22 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 세라믹 공기 유입부 무선 주파수 연결형 세척 장치

Also Published As

Publication number Publication date
US5685942A (en) 1997-11-11
TW325582B (en) 1998-01-21
KR960026343A (ko) 1996-07-22

Similar Documents

Publication Publication Date Title
KR100274757B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
JP3150058B2 (ja) プラズマ処理装置及びプラズマ処理方法
US5683537A (en) Plasma processing apparatus
US6229264B1 (en) Plasma processor with coil having variable rf coupling
KR19980025047A (ko) 플라즈마 처리 장치
TWI734185B (zh) 電漿處理裝置
TW201929031A (zh) 製程中之超侷域化電漿與均勻性控制
KR100387927B1 (ko) 유도결합형 플라즈마 에칭 장치
JP4467667B2 (ja) プラズマ処理装置
JP3276023B2 (ja) プラズマ処理装置の制御方法
JP3372244B2 (ja) プラズマ処理装置
JP3045443B2 (ja) プラズマ処理装置
JP3193815B2 (ja) プラズマ処理装置およびその制御方法
JP3197739B2 (ja) プラズマ処理装置
JP3045444B2 (ja) プラズマ処理装置およびその制御方法
JP3662212B2 (ja) プラズマ処理装置
JPH07122543A (ja) プラズマエッチング装置の制御方法
KR102285126B1 (ko) 플라스마 처리 장치
JP3662211B2 (ja) プラズマ処理装置
KR102679639B1 (ko) 플라스마 처리 장치 및 플라스마 처리 방법
JP7329131B2 (ja) プラズマ処理装置およびプラズマ処理方法
TWI843988B (zh) 電漿處理裝置及電漿處理方法
JP3337288B2 (ja) プラズマ処理装置
KR20240104212A (ko) 플라스마 처리 장치 및 플라스마 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120821

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20130822

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee