KR102195200B1 - 다층 코팅을 포함하는 광학 요소 및 이를 포함하는 광학 배열 - Google Patents

다층 코팅을 포함하는 광학 요소 및 이를 포함하는 광학 배열 Download PDF

Info

Publication number
KR102195200B1
KR102195200B1 KR1020157030714A KR20157030714A KR102195200B1 KR 102195200 B1 KR102195200 B1 KR 102195200B1 KR 1020157030714 A KR1020157030714 A KR 1020157030714A KR 20157030714 A KR20157030714 A KR 20157030714A KR 102195200 B1 KR102195200 B1 KR 102195200B1
Authority
KR
South Korea
Prior art keywords
layer
multilayer coating
layer system
optical element
stacks
Prior art date
Application number
KR1020157030714A
Other languages
English (en)
Other versions
KR20160002837A (ko
Inventor
데 크루이즈 로베르트 더블유. 이. 반
슈테펜 냐베로
안드레 에. 약신
프레데릭 비케르크
Original Assignee
칼 짜이스 에스엠테 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 칼 짜이스 에스엠테 게엠베하 filed Critical 칼 짜이스 에스엠테 게엠베하
Publication of KR20160002837A publication Critical patent/KR20160002837A/ko
Application granted granted Critical
Publication of KR102195200B1 publication Critical patent/KR102195200B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • G02B5/085Multilayer mirrors, i.e. having two or more reflecting layers at least one of the reflecting layers comprising metal
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • G02B5/085Multilayer mirrors, i.e. having two or more reflecting layers at least one of the reflecting layers comprising metal
    • G02B5/0875Multilayer mirrors, i.e. having two or more reflecting layers at least one of the reflecting layers comprising metal the reflecting layers comprising two or more metallic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • G03F7/70891Temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Environmental & Geological Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Toxicology (AREA)
  • Atmospheric Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Filters (AREA)

Abstract

본 발명은 광학요소(50)에 관한 것으로, 이 광학 요소는 기판(52) 및 기판(52)에 적용된 다층 코팅(51)을 포함하고, 다층 코팅은 적어도 두 개의 층(53a-d)을 각각 갖는 동일하게 구성된 스택(X1 내지 X4)의 배열로 구성되는 적어도 하나의 제1 층 시스템(53)과, 적어도 두 개의 층(54a, 54b)을 각각 갖는 동일하게 구성된 스택(Y1, Y2)의 배열로 구성된 적어도 하나의 제2 층 시스템(54)을 포함하고, 다층 코팅(51)의 열적 부하시, 제1 층 시스템(53)은 스택(X1 내지 X4)의 두께(dX)의 비가역적 수축을 받고, 제2 층 시스템(54)은 스택(Y1, Y2)의 두께(dY)의 비가역적 팽창을 받는다. 또한, 본 발명은 적어도 하나의 이런 광학 요소(50)를 포함하는 광학 배열, 특히 리소그래피 장치에 관한 것이다.

Description

다층 코팅을 포함하는 광학 요소 및 이를 포함하는 광학 배열 {OPTICAL ELEMENT COMPRISING A MULTILAYER COATING, AND OPTICAL ARRANGEMENT COMPRISING SAME}
관련 출원 참조
본 출원은 그 전체 내용이 본 내용의 일부로 고려되며, 본 출원의 내용에 참조로 통합되어 있는, 2013년 4월 29일자로 출원된 독일 특허 출원 제10 2013 207 751호에 대한 우선권을 주장한다.
기술 분야
본 출원은 기판을 포함하고 기판에 적용된 다층 코팅을 포함하는 광학 요소에 관한 것이다. 본 발명은 또한 적어도 하나의 이런 광학 요소를 포함하는 광학 배열, 특히, 리소그래피 장치에 관한 것이다.
광학 다층 코팅은 예로서, 사전규정된 파장(동작 파장)에서 방사선을 위한 반사율을 증가시키기 위해 사용된다. 연성 X-선 또는 EUV 파장 범위(즉, 통상적으로 5 nm과 20 nm 사이인 파장)를 위해 설계된 광학 요소를 위한 다층 코팅은 일반적으로 복소 굴절 지수의 높고 낮은 실수부를 가지는 재료들로 구성되는 교번적 층을 갖는다. 약 13.5 nm 정도의 동작 파장에서, 교번 층은 통상적으로 몰리브덴과 실리콘이며, 그 층 두께는 서로간에, 그리고, 주어진 입사각을 위한 동작 파장과 조화되며, 그래서, 코팅은 그 광학 기능을 충족할 수 있고, 특히, 높은 반사율이 보증된다.
그러나, 이런, 그리고, 다른 광학 요소 상의 다층 코팅이 예를 들어, 60°내지 100℃, 적절하다면, 300℃ 또는 그 이상의 높은 온도로 가열될 때, 열적으로 관장되는 다층 코팅의 변화가 발생할 수 있으며, 이는 광학 요소의 광학 특성에 부정적 영향을 준다. 특히, 종래의 코팅 방법에 의해 적용되는 층의 주기 길이는 고온에서 비교적 긴 동작의 경우에 비가역적으로 변할 수 있다. 이 경우에, 다층 코팅의 주기 길이는 변화의 근간이 되는 메커니즘, 예를 들어, 층의 계면에서의 층 재료의 상호확산 또는 혼합 이후의 재료 밀집화에 따라서 증가 또는 감소할 수 있다. 이러한 변경된 주기 길이의 결과로서, 각도 의존성 반사 파장, 강도 및 파면이 통상적으로 변하고, 이는 코팅의 광학 성능을 감소시킨다.
코팅의 열적 안정성을 증가시키기 위해, 층 재료의 혼합을 방지하기 위하여, 다층 코팅의 인접한 층 사이에 배리어 층의 형태로 확산 배리어를 제공하는 것이 알려져 있다. 이런 배리어 층의 사용의 한 가지 단점은 배리어 층에서 발생하는 반사율 손실이 유효 배리어 두께에 따라 증가하고, 그래서, 두꺼운 배리어 층에서 코팅의 성능이 현저히 감소된다는 것이다.
WO 2007/090364는 다층 코팅에 인접하게 배열된 몰리브덴과 실리콘으로 구성된 층이 그 계면에서의 상호확산 프로세스의 결과로서 높은 온도에서 몰리브덴 실리사이드의 형성을 향한 경향이 있고, 이는 층 두께, 그리고, 이에 따른 층 쌍의 주기 길이의 비가역적 감소에 기인한 반사율의 감소를 초래하고, 이는 더짧은 파장을 향한 입사 방사선을 위한 다층 코팅의 반사율 최대치(또는 센트로이드 파장)의 이동을 초래한다는 것을 개시한다. 이러한 문제를 극복하기 위해, WO 2007/090364는 몰리브덴 대신 몰리브덴 니트라이드, 그리고, 실리콘 대신 실리콘 보라이드를 사용하는 것을 제안한다.
상호확산 문제를 해결하기 위해, DE 100 11 547 C2는 층 사이의 상호확산을 방지하고, 그에 의해, 다층 코팅의 열적 안정성을 향상시키기 위해 실리콘 층과 몰리브덴 층 사이의 계면에서 Mo2C로 구성된 배리어 층을 적용하는 것을 제안한다.
본 출원인 명의의 DE 10 2004 002 764 A1는 특정 코팅 방법에 의한 그 적용 동안 다층 코팅의 층은 고체로 형성된 대응 재료보다 더 낮은 밀도를 갖는 비정질 구조를 갖는 것을 개시한다. 층의 초기의 낮은 밀도는 상승된 온도에서 비가역적으로 증가함으로써 개별 층의 층 두께의 감소를 초래하고, 이와 연계하여, 코팅의 주기 길이의 감소를 초래한다. 이는 유사하게 다층 코팅이 반사율의 최대치를 취하는 파장이 이동되는 결과를 갖는다. 이러한 문제를 해결하기 위해, DE 10 2004 002 764 A1는 층의 적용 동안 초과크기를 제공하고, 다층 코팅이 광학 배열에 사용되기 이전에 다층 코팅의 열처리에 의한 층 두께의 비가역적 감소를 예상하는 것을 제안한다.
S. L. Nyabero 등의 문헌 "Interlayer growth in Mo/B4C multilayered structures upon thermal annealing"(J. Appl. Phys, 113, 144310 (2013))은 Mo/B4C 다층 구조의 주기 두께는 어닐링 형태의 열적 처리시 팽창 또는 감소할 수 있다. 3 nm의 층 두께를 갖는 몰리브덴 층을 위해, B4C 층의 두께에 따라 두 개의 서로 다른 현상이 관찰된다: 1.5 nm보다 작은 B4C 두께를 갖는 다층 코팅의 경우에, 이미 형성되어 있는 MoBxCy 간층으로의 몰리브덴 공급이 주도적이고, 주기의 압착의 결과를 갖는 밀집화를 초래한다. 2 nm보다 큰 B4C 두께를 갖는 다층 코팅의 경우에, 간층 내의 B 및 C의 농후화는 낮은 밀도를 갖는 혼합물의 형성 및 주기 팽창을 초래하며, 이들 층 두께에서, 역시, 약 350℃의 온도에서 비교적 긴 열처리의 경우에 층 주기의 압착이 관찰되었다.
본 발명의 목적은 비교적 긴 시간 주기동안 지속되는 높은 열적 부하의 경우에도 다층 코팅의 광학 특성이 훼손되지 않거나 단지 미소하게 훼손되는, 다층 코팅을 포함하는 광학 요소, 그리고, 적어도 하나의 이런 광학 요소를 포함하는 광학 배열, 특히, 리소그래피 장치를 제공하는 것이다.
이러한 목적은 기판과, 기판 상에 적용된 다층 코팅을 포함하고, 다층 코팅은 각각 적어도 두 개의 층을 갖는 동일하게 구성된 스택의 배열로 구성되는 적어도 하나의 제1 층 시스템과, 각각 적어도 두 개의 층을 갖는 동일하게 구성된 스택의 배열로 구성되는 적어도 하나의 제2 층 시스템을 포함하고, 다층 코팅의 열적 부하시, 제1 층 시스템은 스택의 두께의 비가역적 수축(열적 부하의 시간 기간 및 강도에 의존하여)을 겪고, 제2 층 시스템은 스택의 두께의 비가역적 팽창(열적 부하의 시간 기간 및 강도에 의존하여)을 겪는다. 제1 및 제2 층 시스템의 다수의 동일하게 구성된 스택들은 특히 다층 코팅에서 복수회(주기적으로) 반복될 수 있다.
여기서 제안된 다층 코팅은 두 개(또는 그 이상)의 층 시스템으로 구성되며, 그 중 첫 번째는 열적 부하시, 즉, 층 시스템의 층 내로의 열 입력시, 특히 층 시스템의 층 사이의 계면에서 발생하는 화학적 또는 물리적 변환 프로세스의 결과로서 수축(비가역적)하고, 그 동안, 제2 층 시스템의 경우에는 반대의 효과가 발생, 즉, 층 시스템이 팽창한다. 층 시스템이 열적 부하시 두께의, 그리고, 따라서, 개별 층 시스템의 주기 길이의 반대 부호를 갖는 변화를 나타내는, 다층 코팅의 2개 층 시스템의 조합의 결과로서, 조합된 다층 코팅의 주기 두께 또는 주기 길이는 통상적으로 영구적 열적 부하시(즉, 복수 시간에 걸쳐 지속되는 열적 부하시) 단지 미소하게 변한다
이러한 적용의 의미 이내에서, 열적 부하는 적어도 약 100℃, 통상적으로 150℃ 이상, 특히, 250℃ 이상의 온도로 다층 코팅을 가열하는 것으로서 이해되며, 여기서, 이 온도는 비교적 긴 시간 주기에 걸쳐 유지되고(통상적으로 복수 시간의 범위에서), 그래서, 층에 대한 상술된 물리적 및/또는 화학적 효과가 개별 스택의 주기 두께 의 측정가능한 변화에서 명백해진다.
본 발명은 예로서, 열적 부하시 그 스택이 수축하고 따라서 음의 부호를 갖는 주기 변화를 나타내는 단 하나의 층 시스템만을 대체로 구비하는 (종래의) 다층 코팅이, 그 스택이 열적 부하시 팽창하여 반대 부호를 갖는 주기 변화를 생성하는 제2 층 시스템에 의해 보완되는 것을 제안한다. 또한, 서로에 대한 개별 층 시스템의 스택의 수(각 경우에 둘 이상)(즉, 주기의 수)의 비율은 또한 코팅의 가능한 최상의 열적 및 광학적 성능을 획득하기 위해 최적화될 수도 있다. 결과적으로, 사전결정된(일정한) 온도 또는 사전규정된 온도 프로파일에 의한 열적 부하시 광학 요소 또는 코팅에서 반사된 방사선의 센트로이드 파장은 통상적으로 가능한 긴 시간 기간에 걸쳐 일정하게 유지된다.
종래의 다층 코팅의 경우에, 주기적 층 디자인은 층 디자인의 열적 안정성을 증가시키기 위해 배리어 층의 추가에 의해 변경된다. 물론, 제1 및/또는 제2 층 시스템도 이런 배리어 층을 가질 수 있다.
일 유리한 실시예에서, 적어도 하나의 제2 층 시스템의 스택의 팽창은 다층 코팅의 적어도 하나의 제1 층 시스템의 스택의 수축을 보상한다. (적어도 하나의) 제2 층 시스템의 수축이 (적어도 하나의) 제1 층 시스템의 팽창에 의해 보상된 결과로서, 다층 코팅의 평균 주기 길이 또는 두께가 유지된다. 이 방식에서, 주변에 관한 다층 코팅의 계면의 위치가 영구적 열적 부하시 기판의 표면의 위치에 관하여 적절히 변하지 않는 것을 보증할 수 있다.
여기서 제안된 해결책은 (추가적) 배리어 층의 추가에 의해 다층 코팅의 주기 두께를 변형시키지 않지만, 대신, 스택의 주기적 배열의 형태로 새로운 요소를 도입하며, 이는 원래 코팅의 주기 길이 또는 주기 두께의 변화를 보상한다. 본 명세서에 제안된 바와 같은 다층 코팅의 디자인은 따라서 동일한 열적 부하에 대하여 종래의 다층 코팅보다 높은 반사율을 생성하거나, 대안적으로, 동일한 반사율에 대하여 더 높은 열적 안정성을 생성한다. 물론, 제2 층 시스템은 가장 큰 가능한 온도 범위 및 가장 긴 가능한 시간 기간에 걸쳐 제1 층 시스템의 주기 두께의 변화를 보상하여야 한다.
또한, 물론, 둘 이상의 제1 및/또는 제2 층 시스템이 다층 코팅에 존재할 수도 있으며, 이 경우에, 역시, 모든 층 시스템의 주기 두게의 조합된 변화는 다층 코팅의 열적 부하시 "평균" 주기 두께의 변화를 초래하지 않는 것이 보증될 수 있다. 다층 코팅의 층 시스템의 스택의 배열은 원론적으로 임의적이다. 다층 코팅의 층 시스템의 스택을 분산시킬 때, 다층 코팅의 광학 성능이 극적으로 열화되지 않는 것을 보증하도록 주의가 기울여져야만 한다. 따라서, 이는 주변에 관하여 다층 코팅의 계면에 인접한 또는 상단 측부의 사용된 방사선에 대한 더 큰 흡수를 갖는 층 시스템의 모든 또는 실질적 모든 스택의 배열을 회피하는 것을 수반하여야 한다. 기판에 인접한 제2 팽창성 층 시스템의 모든 또는 실질적 모든 스택의 배열은 다층 코팅의 광학 특성에 대해 불리한 것으로 판명되었다.
일 실시예에서, 제2 층 시스템의 스택의 적어도 하나의 층은 붕소를 포함한다. 원론적으로, 다층 코팅의 광학 특성에 대한 심각한 부정적 영향(예를 들어, 과도하게 강한 흡수)을 갖지 않는 모든 재료가 제2 층 시스템의 스택의 층으로서 사용될 수 있다. 제2 층 시스템의 층의 열적 부하시 팽창을 생성하기 위해, 붕소 또는 붕소 화합물은 유리한 것으로 판명되었다. 붕소는 단지 3가 전자를 가지며, 그래서, 예로서, 금속성 재료를 포함하는 층에 인접하게 배열된 붕소 함유 층의 경우에 붕소-금속 화합물 또는 붕소-금속 착체가 형성된다. 상기 화합물 또는 착체의 밀도는 통상적으로 층의 또는 스택의 팽창을 초래하는 원래의 성분의 밀도보다 낮다.
일 개선형에서, B4C로부터 적어도 하나의 층이 형성된다. 금속성 재료로 구성된 층에 인접하게 배열된 이러한 재료로 구성된 층의 경우에, 열적 부하시 팽창을 검출하는 것이 가능하다. 그러나, 물론, 다른 붕소 화합물 또는 붕소 자체는 특히 금속성 재료로 구성되는 층에 인접하게 배열되는 경우 또한 결과적 층 스택의 팽창을 초래할 수 있다.
특히, B4C로 구성된 층은 2 nm 이상, 적절한 경우에는, 3 nm 이상의 두께를 가질 수 있다. 서두에 인용한 문헌 "Interlayer growth…"에 설명된 바와 같이, B4C 층은 결과적 스택의 팽창을 초래하는 Mo로 구성된 인접하게 배열된 층과 조합하여 2 nm의 두께를 갖고, 1.5 nm 미만의 두께의 경우에 층 스택의 압착이 관찰된다.
다른 실시예에서, 제2 층 시스템의 스택의 적어도 하나의 층은 금속, 특히, 전이 금속을 포함하거나, 금속, 특히, 전이 금속으로 구성된다. 추가로 상술한 바와 같이, 특히, 층 사이의 계면에 형성되는 금속 보라이드는 종종 개별 성분보다 낮은 밀도를 가지며, 즉, 금속 보라이드의 형성은 현재의 용도, 즉, 제2 층 시스템의 스택의 팽창을 생성하기 위해 유리하다.
일 개선형에서, 금속은 Mo 및 La를 포함하는 그룹으로부터 선택된다. Mo의 경우에, Mo/B4C로 구성된 대응 스택의 팽창은 상술한 문헌 "Interlayer growth…"에 예시되어 있다. 특정 금속, 특히, 예를 들어, La 같은 전이 금속은 열적 부하시 적절한 조건 하에서 팽창을 나타낸다(화학적 화합물을 형성하기 위한 적절한 층 두께 및 적절한 층 재료). 조합 Mo/B4C 및/또는 La/B4C와 함께, Mo/B로 구성된 및/또는 La/B로 구성된 층 스택도 제2 층 시스템을 위해 사용될 수 있다.
다른 실시예에서, 제2 층 시스템의 스택의 층은 붕소 및 금속 양자 모두를 포함하고, 금속에 비해 잉여 붕소가 존재한다. 이러한 구조 및 이에 따른 금속 보라이드의 밀도는 금속 부분과 붕소 부분 사이의 비율에 의존한다. 붕소에 의한 금속 보라이드 또는 금속의 농후화는 일반적으로 더 낮은 밀도를 갖는 화합물의 형성을 초래하고, 그래서, 제2 층 시스템의 스택의 층들 내에 잉여 붕소가 존재하는 것이 유리하다. 잉여 붕소는 금속보다 더 큰 붕소의 체적이 존재하는 것 또는 전체적으로 스택 내에서 금속 층보다 붕소 층의 두께가 더 큰 것을 의미하는 것으로 이해된다.
다른 실시예에서, 제1 층 시스템의 스택의 적어도 하나의 층은 Mo 또는 Si로부터 형성된다. 제1 층 시스템은 예로서, EUV 방사선(통상적으로 13.5 nm)을 반사하도록 기능하며 통상적으로 실리콘으로 구성된 층과 교번하는 몰리브덴으로 구성된 층을 갖는 층 시스템일 수 있다. 물론, 제1 층 시스템은 대안적으로 또한 다른 층 재료로 구성된 교번적 층을 가질 수 있으며, 통상적으로 사전규정된 파장에서의 방사선에 대해 가장 큰 가능한 반사율을 획득하기 위해 높은 굴절 지수의 실수부를 갖는 재료가 더 낮은 굴절 지수의 실수부를 갖는 재료와 교번된다.
일 실시예에서, 제1 층 시스템의 스택의 적어도 하나의 층은 B4C로부터 형성된다. 본 예에서, B4C는 말하자면 열적 부하시 두 개의 층 재료의 확산을 가장 큰 가능한 정도로 방지하기 위해 Si 및 Mo로 구성된 층 사이의 배리어 층으로서 기능한다. 제1 층 시스템의 스택은 본 경우에 특히 다음과 같이 구성될 수 있으며: Si/B4C/Mo/B4C, 예로서, S. L. Nyabero 등의 문헌 "Thermally induced interface chemistry in Mo/B4C/Si/B4C multilayered films"(J. Appl. Phys. 112, 054317 (2012))에 설명된 바와 같이, 열적 응력을 받을 때 그 주기 두께가 증가하는 화합물(SixBy)이 Si와 B4C 사이의 계면에 형성될 수 있는 경우에도 스택 전체는 열적 부하시 압착을 받는다. 물론, B4C 대신 다른 재료도 제1 층 시스템을 위한 배리어 층으로서 사용될 수 있다.
다른 실시예에서, 제2 층 시스템의 스택의 수에 대한 제1 층 시스템의 스택의 수의 비율은 4:2이다. 각각의 층 시스템의 스택의 수의 이러한 비율은 특히 제1 층 시스템이 Si/B4C/Mo/B4C로 구성되는 스택을 가지고, 제2 층 시스템이 Mo/B4C로 구성되는 스택의 경우에 유리한 것으로 판명되었고, 그 이유는 이러한 비율에서, 제2 층 시스템의 스택의 팽창은 예를 들어, 약 250℃의 온도로의 가열의 결과로서 열 부하시 다층 코팅의 제1 층 시스템의 스택의 수축을 정확하게 보상하기 때문이다. 물론, 재료의 유형 및/또는 보상될 각각의 열적 부하 및/또는 광학 요소의 동작 온도에 따라서, 스택의 수 사이의 다른 비율도 설정될 수 있으며, 물론, 이런 선택의 결과로서 코팅의 광학 특성이 열화되지 않는 것을 보증하기 위해 주의가 기울여져야 한다.
다른 실시예에서, 다층 코팅은 EUV 방사선을 반사하도록 설계된다. 추가로 상술한 바와 같이, 이런 다층 코팅은 통상적으로 고 및 저 반사 지수를 갖는 재료들로 구성되는 교번적 층을 갖는다. 13.5 nm의 최대 파장에 대하여, 굴절 지수의 더 높은 실수부를 갖는 층은 통상적으로 실리콘 층이고, 더 낮은 굴절 지수를 갖는 층은 몰리브덴으로 구성되는 층이다. 원하는 최대 파장에 따라서 예를 들어, 몰리브덴 및 베릴륨, 루테늄 및 베릴륨 또는 란타늄 및 B4C 같은 다른 재료의 조합이 유사하게 가능하다.
본 발명의 다른 양태는 상술한 바와 같은 적어도 하나의 광학 요소를 포함하는 광학 배열, 특히, 리소그래피 장치에 관한 것이다. 이 광학 배열은 예로서 (EUV) 방사선을 사용하여 웨이퍼 또는 일부 다른 광학 배열을 노광하기 위한 EUV 리소그래피 장치, 예로서, EUV 리소그래피에 사용되는 마스크를 측정하기 위한 시스템일 수 있다. 다른 파장, 예를 들어 VIS 또는 UV 파장 범위에서 동작되는 광학 배열은 또한 상술한 바와 같이 구현된 하나 또는 복수의 광학 요소를 구비할 수 있다. 상술한 바와 같이 구현되는 다층 코팅에 의해 달성될 수 있는 바는 반사방지 코팅 형태의 다층 코팅의 경우의 특히 낮은 반사율 또는 사전규정된 파장에서의 특히 높은 반사율을 갖는 광학 요소가 예를 들어, 약 100℃ 이상의 온도로의 가열의 결과로서의 영구적 열적 부하의 경우에도 그 광학 특성을 변화시키지 않거나 단지 미소하게 변경시킨다는 것이다.
일 실시예에서, 광학 요소에서 반사된 EUV 방사선의 센트로이드 파장은 EUV 방사선에 의한 조사에 의한 광학 요소의 열적 부하시 일정하거나 일정하게 유지된다. 이는 제1 층 시스템의 주기 두께의 수축이 제2 층 시스템의 주기 두께의 대응 팽창에 의해 정확하게 보상되어 다층 코팅의 주기 두께가 일정하게 유지(평균으로)된다는 사실에 의해 달성될 수 있다. 이 경우에, 광학 요소의 열적 부하는 EUV 방사선에 의한 - 그리고, 적절하다면 추가적 온도 조정 장치, 특히, 가열 장치에 의한 가열에 의해 발생되는 광학 배열의 광학 요소의 동작 온도에 대응하고, 한다.
각 경우에, 광학 배열로의 광학 요소의 도입 이전에, 또는, 적절하다면, 광학 배열의 동작, 예를 들어, 리소그래피 장치의 경우의 노광 동작의 착수 이전에, 적절하다면 열 처리에 의해 또는 예를 들어 짧은 가열에 의한 열 처리에 의해, 그리고, 복수의 분에 걸친 예를 들어 250℃의 온도의 유지에 의해, 다층 코팅은 주기 두께, 그리고, 따라서, 센트로이드 파장, 즉, 최대 반사율의 파장이 열적 부하시, 즉, 동작 온도까지의 광학 요소 또는 다층 코팅의 가열시 매우 긴 시간 주기에 걸쳐 변하지 않는 상태가 되게 될 수 있다.
본 발명의 다른 특징 및 장점은 첨부 도면을 참조로 하는 본 발명의 예시적 실시예에 대한 이하의 설명과 청구범위로부터 명백하다. 개별 특징은 각각 개별적으로 자체적으로 실현될 수 있거나, 본 발명의 변형의 임의의 원하는 조합으로 복수로서 실현될 수 있다.
예시적 실시예가 개략도에 예시되어 있으며, 이하의 설명에서 설명된다.
도 1은 EUV 리소그래피 장치의 개략적 예시도를 도시한다.
도 2a 및 도 2b는 다층 코팅을 갖는 도 1의 EUV 리소그래피 장치를 위한 광학 요소의 개략적 예시도를 도시한다.
도 3은 열적 부하의 기간의 함수로서 도 2b의 다층 코팅의 제1 및 제2 층 시스템의 주기 두께의 변화 또는 주기 두께의 예시도를 도시한다.
도 4는 서로 다른 시간 주기에 대하여 열적 부하시 도 2b의 다층 코팅을 포함하는 광학 요소의 반사율의 예시도를 도시한다.
이하의 도면의 설명에서, 동일하거나 기능적으로 동일한 구성요소 부분을 위해서는 동일한 참조 부호가 사용된다.
도 1은 투영 노광 장치(1)(EUV 리소그래피 장치) 형태의 EUV 리소그래피를 위한 광학 시스템을 개략적으로 도시한다. 투영 노광 장치(1)는 별개의 진공 하우징 내에 수용되고 비임 생성 시스템(2)의 EUV 광원(5)으로부터 진행하는 비임 경로(6) 내에서 연속적으로 배열되는 비임 생성 시스템(2), 조명 시스템(3) 및 투영 시스템(4)을 포함한다. 예로서, 플라즈마 소스 또는 싱크로트론이 EUV 광원(5)으로서 기능할 수 있다. 약 5 nm과 약 20 nm 사이의 파장 범위의 광원(5)으로부터 발생하는 방사선이 콜렉터 거울(7)에 먼저 집속되고, 본 예에서는 대략 13.5 nm인 원하는 동작 파장(λB)이 모노크로메이터(미도시)에 의해 필터링 제거된다.
비임 생성 시스템(2)의 파장 및 공간적 분포에 관하여 처리된 방사선이 조명 시스템(3) 내로 도입되고, 조명 시스템은 본 예에서 제1 및 제2 반사 광학 요소(9, 10)를 갖는다. 두 개의 반사 광학 요소(9, 10)가 방사선을 다른 반사 광학 요소로서의 포토마스크(11) 상으로 안내하며, 이 다른 반사 광학 요소는 투영 시스템(4)에 의해 감소된 규모로 웨이퍼(12) 상에 이미징되는 구조를 갖는다. 이 목적을 위해, 제3 및 제4 반사 광학 요소(13, 14)가 투영 시스템(4)에 제공된다. 조명 시스템(3)과 투영 시스템(4) 양자 모두는 각 경우에 단 하나의 또는 다르게는 셋, 넷, 다섯 또는 더 많은 반사 광학 요소를 가질 수 있다.
도 1로부터의 투영 노광 장치(1)의 하나 또는 복수의 광학 요소(7, 9, 10, 11, 13, 14) 상에 실현될 수 있는 것 같은 두 개의 광학 요소(50)의 구조가 예로서 도 2a 및 도 2b를 참조로 아래에서 예시되어 있다. 광학 요소(50) 각각은 예를 들어, Zerodur®, ULE® 또는 Clearceram®의 낮은 열 팽창 계수를 갖는 기판 재료로 구성되는 기판(52)을 포함한다.
도 2a 및 도 2b에 예시된 반사 광학 요소(50)의 경우에, 다층 코팅(51)은 각 경우에 기판(52)에 적용된다. 도 2a 및 도 2b에 예시된 광학 요소(50)의 다층 코팅(51)은 제1 층 시스템(53) 및 제2 층 시스템(54)을 포함한다. 제1 층 시스템(53)은 네 개의 스택(X1 내지 X4)의 배열로 구성되며, 그 구성은 각 경우에 동일하며: 네 개의 스택(X1 내지 X4) 각각은 시퀀스 Si/B4C/Mo/B4C의 네 개의 층(53a-d)으로 구성된다. 이 경우에, 제1 층 시스템(53)은 EUV 방사선을 반사하기 위한 종래의 층 시스템에 대응하며, B4C로 구성된 두 개의 층(53b, 53d)의 형태의 층 시스템 배리어 층은 열적 안정성을 증가시키기 위해 제공된다. 비교적 긴 시간 주기 동안 지속되는 열적 부하시, 스택(X1 내지 X4)의 두께(dx)는 적용시 생성된 두께(여기서: dMO = 1.9 nm; dB4C = 1 nm; dSi = 3 nm인 경우 dX = 6.9 nm)에 비해 감소되며, 즉, 스택(X1 내지 X4)이 수축된다. 스택(X1 내지 X4)의 수축은 실질적으로 그 구성 성분보다 더 높은 밀도를 갖는 층(53a-d) 사이의 계면에서 층 재료(Si, Mo, B4C) 사이의 화학적 화합물의 형성에 기인할 수 있다.
제2 층 시스템(54)은 각각 동일한 층 구성을 갖는 두 개의 층 스택(Y1, Y2)의 배열로 이루어진다: 각 스택(Y1, Y2)은 시퀀스(Mo/B4C)의 두 개의 층(54a, 54b)으로 구성된다. B4C 층(54b)은 2 nm 이상, 바람직하게는 3 nm 이상의 두께(dB4C)를 가지며(본 경우에는 dB4C = 4.2 nm), 도시된 예에서 Mo 층(53a)은 약 3 nm의 두께(dMO)를 가지며, 예로서 스퍼터링에 의해 적용된다. 본 명세서에 설명된 예에서, 제1 층 시스템(53)의 스택(X1 내지 X4) 및 제2 층 시스템(54)의 스택(Y1, Y2) 전체는 주기적 배열을 형성하며, 즉, 도 2a에 도시된 스택 배열(X4, Y2, Y1, X3, X2, X1)은 다층 코팅(51)에서 복수회 반복되며, 본 예에서는 정확하게 8회가 된다. 그러나, 이런 다층 코팅(51) 내의 스택(X1 내지 X4, Y1, Y2)의 이런 주기적 배열은 절대적으로 필요한 것은 아니다.
제2 층 시스템(54)의 스택(Y1, Y2)의 두께 dY = 7.2 nm이고, 상기 두께는 적용 동안 생성되며, 열적 부하시 증가하고, 즉, 스택(Y1, Y2)은 열적 부하시 팽창한다. 팽창을 생성하기 위한 제2 층 시스템(54)의 스택(Y1, Y2)의 적절한 디자인에 관한 세부사항에 대해서는 서두에 인용한 문헌 "Interlayer growth…"을 참조하며, 상기 문헌은 본 출원의 내용에 참조로 통합되어 있다.
도 2b는 제1 층 시스템(53)의 스택(X1 내지 X4)의 층(53a-d)의 시퀀스(Mo/B4C/Si/B4C)의 그리고 다층 코팅(51)의 제2 층 시스템(54)의 스택(Y1, Y2)의 배열에서만 도 2a에 도시된 광학 요소(50)와 다른 광학 요소(50)를 도시한다. 다층 코팅(51)의 제1 층 시스템(53)의 스택(X1 내지 X4)의, 그리고, 제2 층 시스템(54)의 스택(Y1, Y2)의 배열은 다층 코팅의 광학 특성이 불리한 방식으로 영향을 받지 않는다면 원론적으로 임의적이다.
특히, 다층 코팅(51)의 반사율이 과도하게 큰 정도로 감소되지 않도록 진공 주변에 관하여 계면을 형성하면서 도 2a 및 도 2b에 도시되어 있는 광학 표면(56)에 제2 층 시스템(54)의 모든 16개 스택(Y1, Y2)이 인접하게 배열되는 것을 피하여야 하며, 그 이유는 제2 층 시스템(54)의 스택(Y1, Y2)이 EUV 방사선을 위한 제1 층 시스템(53)의 스택(X1 내지 X4)보다 높은 흡수도를 가지기 때문이다. 다층 코팅(51)의 스펙트럼 반사율 거동의 변화를 피하기 위해, 제2 층 시스템(54)의 16개 스택(Y1, Y2)은 또한 기판(52)에 인접하게 배열되지 않아야 한다. 제2 층 시스템(54)의 (8 x 2 = 16) 스택(Y1, Y2)이 예로서 도 2a 및 도 2b에 따른 주기적 배열에서의 경우 처럼 다층 코팅(51) 위에 분포되는 방식으로 배열되는 것이 바람직한 것으로 판명되었다. 그러나, 제2 층 시스템(54)의 스택(Y1, Y2)은 비주기적 배열로 다층 코팅에 걸쳐 분포되는 것도 가능하다. 예로서, 도 2a에 도시된 스택 배열(X4, Y2, Y1, X3, X2, X1)이 하나의 그리고 동일한 다층 코팅(51)에서 도 2b에 도시된 스택 배열(X4, X3, X2, X1, Y2, Y1)과 조합될 수 있다.
진공 주변으로부터의 오염물질로부터 각각의 광학 요소(50)를 보호하기 위해서, 도 2a 및 도 2b에 도시된 예에서, 보호 층 시스템(예시되지 않음)이 다층 시스템(51)에 적용되고, 이 보호 층 시스템은 하나의 또는 복수의 층으로부터 형성될 수 있고, 이는 본 고려사항에 중요하지 않으며, 그래서, 본 명세서에 더 상세히 설명되지 않는다.
도 2a 및 도 2b에 도시된 광학 요소의 경우에, 제2 층 시스템(54)의 스택(Y1, Y2)의 수에 대한 제1 층 시스템(53)의 스택(X1 내지 X4)의 수의 비율은 열적 부하시 제1 층 시스템(53)의 스택(X1 내지 X4) 전체의 수축이 제2 층 시스템(54)의 스택(Y1, Y2) 전체의 팽창에 의해 정확하게 보상되어 다층 코팅(51)의 평균 주기 두께, 그리고, 이에 따라, 광학 요소(50)의 기판(52)의 상단 측부와 진공에 관한 계면(56) 사이의 거리가 일정하게 유지되도록 선택된다.
물론, B4C 층(54b) 대신, 제2 층 시스템(54)은 또한 다른 재료로 구성된, 예로서, 붕소로 구성된 층을 포함할 수 있으며, 다른, 특히, 금속성 재료, 구체적으로, La 같은 전이 금속이 또한 몰리브덴 층(54a) 대신 사용될 수도 있다. 붕소와 금속으로 구성된 층의 조합의 경우에, 제2 층(54)의 각각의 스택(Y1, Y2)이 잉여 붕소를 갖는 것, 즉, 각각의 스택(Y1, Y2) 내의 붕소의 체적이 (현저히) 금속성 재료의 체적을 초과하는 것이 이 유리한 것으로 판명되었다.
도 3은 도 3에 도시된 예시의 경우에, 250℃의 온도로의 (영구적) 가열에 의해 생성되는 열적 부하의 시간 기간의 함수로서 도 2b로부터 제1 층 시스템(53)의 스택(X1 내지 X4) 전체의 주기 두께의 변화를 도시한다. Mo/B4C와 Mo/B4C/Si/B4C를 위해 도시된 곡선으로부터 수집될 수 있는 바와 같이, 두 개의 층 시스템(53, 54)의 주기 두께의 증가 및 감소의 기여도는 정확하게 서로 상쇄되고, 그래서, 다층 코팅(51)의 평균 주기 두께의 변화는 시간에 걸쳐 일정하게 유지된다(중간 곡선 참조). 유사하게 도 3에서 확인할 수 있는 바와 같이, 적용된 두께에 대한 주기 두께의 변화는 0이지만(여기서 본 명세서에 더 상세히 설명되지 않은 효과에 기인할 수 있음), 주기 두께의 변화는 짧은 시간(통상적으로 수 분) 이후 일정한 값이 형성되도록 열적 처리의 시작시에 직접적으로 발생한다.
도 3에 예시된 바와 같은 다층 코팅(51)의 주기 두께의 열적 거동은 광학 요소(50)의, 정확하게는, 다층 코팅(51)의 파장 의존적(정규화된) 반사율(R)에 영향을 주며, 이는 열처리의 세 개개의 서로 다른 시간 지점에서 도 4에 도시되어 있다:제1 반사율 곡선(실선)은 코팅 이후, 즉, 열처리의 시작 이전의 다층 코팅(51)의 반사율(R)을 도시하고, 제2 반사율 곡선(일점 쇄선)은 250℃에서의 열처리의 10 분 이후 반사율(R)을 도시하고, 제3 반사율 곡선(쇄선)은 250℃에서의 열처리 60 시간 이후 반사율(R)을 도시한다.
도 4로부터 제2 및 제3 반사율의 비교로부터 명백한 바와 같이, 파장 의존적 반사율(R) 및 이에 따른 또한 센트로이드 파장(λZ)(이는 이상적으로 동작 파장 λB에 대응함)은 약 10 분의 짧은 열처리 이후 더 이상 변하지 않으며, 즉, 다층 코팅의 센트로이드 파장(λZ)은 이 시간 주기 이후 일정하게 유지된다. 10 분의 (짧은) 열적 처리의 경우에 반사율 곡선의 이동은 다층 코팅(51)의 디자인을 고려하여 취해질 수 있으며, 즉, 상기 이동은 다층 코팅(51)의 층(53a-d, 54a,b)의 두께를 규정할 때 여유에 의해 고려될 수 있다. 이 경우에, EUV 리소그래피 장치(1)의 광학 요소(50)의 동작 이전에, 예를 들어, 10분의 짧은 열처리가 수행되어 다층 코팅(51)이 센트로이드 파장(λZ)이 더 이상 변하지 않고 원하는 파장에 대응하게 되는 상태가 되게 한다.
물론, 다층 코팅의 디자인, 즉, 특히, 층 두께뿐만 아니라 층 재료는 광학 요소의 동작 온도 또는 예상되는 열적 부하에 적응될 수 있다. EUV 리소그래피 장치(1)의 광학 요소(7, 9, 10, 11, 13, 14)의 동작 온도 또는 열적 부하는 통상적으로 서로 다르기 때문에, 예상 동작 온도에 적응되는 다층 코팅(51)의 전용 층 디자인이 특히 각 광학 요소(7, 9, 10, 11, 13, 14)에서 생성될 수 있다.
시간에 걸쳐 일정한 주기 길이의 결과로서, 열적 부하시 다층 코팅(51)에 의해 반사된 방사선의 각도 의존적 반사 파장, 강도 및 파전두는 통상적으로 변하지 않으며, 즉, 다층 코팅(51)의 광학 성능은 유지되고, 다층 코팅 및 연계된 광학 요소(50)의 수명이 증가된다. 물론, 여기서 제안된 보상은 상술한 재료에 한정되지 않으며, 원론적으로, 그 사용이 다층 코팅의 광학 특성을 극적으로 감소시키지 않으면, 전체적으로 각 층 시스템의 스택의 두께의 팽창 및 수축의 보상을 발생시키는 다수의 재료가 사용될 수 있다. 이는 예로서, 사용되는 방사선에 대하여 과도하게 높은 흡수 계수를 갖는 재료의 경우이다.
물론, 각각의 층 시스템의 스택의 두께의 팽창 및 압축의 (사실상) 완전한 보상은 모든 경우에 달성될 수는 없다. 이러한 경우에도, 일반적으로, 상술한 방식으로, 상승된 온도에서의 동작 동안 그 광학 성능이 하나의 층 시스템만으로 구성되는 다층 코팅의 경우에서보다 적은 정도로 감소하는 다층 코팅(51)을 획득하는 것이 가능하다.

Claims (14)

  1. 광학 요소(50)이며,
    기판(52)과,
    기판(52)에 적용된 다층 코팅(51)을 포함하고,
    다층 코팅은
    적어도 두 개의 층(53a-d)을 각각 갖는 동일하게 구성된 스택(X1 내지 X4)의 배열로 구성되는 적어도 하나의 제1 층 시스템(53) 및
    적어도 두 개의 층(54a, 54b)을 각각 갖는 동일하게 구성된 스택(Y1, Y2)의 배열로 구성되는 적어도 하나의 제2 층 시스템(54)을 포함하고,
    다층 코팅(51)의 열적 부하시, 제1 층 시스템(53)은 스택(X1 내지 X4)의 두께(dX)의 비가역적 수축을 겪고, 제2 층 시스템(54)은 스택(Y1, Y2)의 두께(dY)의 비가역적 팽창을 받고,
    제1 층 시스템(53)의 동일하게 구성된 스택(X1 내지 X4)은 제2 층 시스템(54)의 동일하게 구성된 스택(Y1, Y2)과 다른 광학 요소.
  2. 제1항에 있어서, 적어도 하나의 제2 층 시스템(54)의 스택(Y1, Y2)의 팽창은 다층 코팅(51)의 적어도 하나의 제1 층 시스템(53)의 스택(X1 내지 X4)의 수축을 보상하는 광학 요소.
  3. 제1항 또는 제2항에 있어서, 제2 층 시스템(54)의 스택(Y1, Y2)의 적어도 하나의 층(54b)은 붕소를 포함하는 광학 요소.
  4. 제3항에 있어서, 층(54b)은 B4C로부터 형성되는 광학 요소.
  5. 제4항에 있어서, B4C로 구성되는 층(54b)은 2 nm 이상의 두께(d)를 갖는 광학 요소.
  6. 제1항 또는 제2항에 있어서, 제2 층 시스템(54)의 스택(Y1, Y2)의 적어도 하나의 층(54a)은 금속을 포함하거나 금속으로 구성되는 광학 요소.
  7. 제6항에 있어서, 금속은 Mo와 La를 포함하는 그룹으로부터 선택되는 광학 요소.
  8. 제1항 또는 제2항에 있어서, 제2 층 시스템(54)의 스택(Y1, Y2)의 층(54a, 54c)은 붕소 및 금속 양자 모두를 포함하고, 금속에 비해 잉여 붕소가 존재하는 광학 요소.
  9. 제1항 또는 제2항에 있어서, 제1 층 시스템(53)의 스택(X1 내지 X4)의 적어도 하나의 층(53a, 53c)은 Mo 또는 Si로 부터 형성되는 광학 요소.
  10. 제1항 또는 제2항에 있어서, 제1 층 시스템(53)의 스택(X1 내지 X4)의 적어도 하나의 층(53b, 53d)은 B4C로부터 형성되는 광학 요소.
  11. 제1항 또는 제2항에 있어서, 제2 층 시스템(54)의 스택(Y1, Y2)의 수에 대한 제1 층 시스템(53)의 스택(X1 내지 X4)의 수의 비율은 4:2인 광학 요소.
  12. 제1항 또는 제2항에 있어서, 다층 코팅(51)은 EUV 방사선(6)을 반사하도록 설계되는 광학 요소.
  13. 제1항 또는 제2항에 따른 적어도 하나의 광학 요소(7, 9, 10, 11, 13, 14, 50)를 포함하는 광학 배열체.
  14. 제13항에 있어서, EUV 방사선(6)에 의한 조사에 의한 광학 요소(7, 9, 10, 11, 13, 14, 50)의 열적 부하시, 광학 요소(7, 9, 10, 11, 13, 14, 50)에서 반사된 EUV 방사선(6)의 센트로이드 파장(λZ)은 일정한 광학 배열체.
KR1020157030714A 2013-04-29 2014-04-15 다층 코팅을 포함하는 광학 요소 및 이를 포함하는 광학 배열 KR102195200B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE201310207751 DE102013207751A1 (de) 2013-04-29 2013-04-29 Optisches Element mit einer Mehrlagen-Beschichtung und optische Anordnung damit
DE102013207751.3 2013-04-29
PCT/EP2014/057637 WO2014177376A1 (en) 2013-04-29 2014-04-15 Optical element comprising a multilayer coating, and optical arrangement comprising same

Publications (2)

Publication Number Publication Date
KR20160002837A KR20160002837A (ko) 2016-01-08
KR102195200B1 true KR102195200B1 (ko) 2020-12-28

Family

ID=50543038

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157030714A KR102195200B1 (ko) 2013-04-29 2014-04-15 다층 코팅을 포함하는 광학 요소 및 이를 포함하는 광학 배열

Country Status (7)

Country Link
US (1) US20160116648A1 (ko)
JP (1) JP6381632B2 (ko)
KR (1) KR102195200B1 (ko)
CN (1) CN105190372B (ko)
DE (1) DE102013207751A1 (ko)
TW (1) TWI607960B (ko)
WO (1) WO2014177376A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015203604B4 (de) * 2015-02-27 2022-04-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Schichtaufbau für mehrschichtige Laue-Linsen bzw. zirkulare Multischicht-Zonenplatten
US9766536B2 (en) 2015-07-17 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mask with multilayer structure and manufacturing method by using the same
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040033699A1 (en) * 2002-08-16 2004-02-19 Hector Scott Daniel Method of making an integrated circuit using an EUV mask formed by atomic layer deposition
JP2004532413A (ja) * 2001-05-01 2004-10-21 ザ・リージェンツ・オブ・ジ・ユニバーシティ・オブ・カリフォルニア 極値紫外線リソグラフィー(euvl)の多層構造
JP2006258650A (ja) * 2005-03-17 2006-09-28 Nikon Corp 多層膜反射鏡および露光装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0816720B2 (ja) * 1992-04-21 1996-02-21 日本航空電子工業株式会社 軟x線多層膜反射鏡
DE10011547C2 (de) 2000-02-28 2003-06-12 Fraunhofer Ges Forschung Thermisch stabiles Schichtsystem zur Reflexion von Strahlung im extremen ultravioletten Spektralbereich (EUV)
DE102004002764A1 (de) 2004-01-20 2004-06-09 Carl Zeiss Smt Ag Verfahren zur Herstellung von Multilayern und Multilayer
DE102006006283B4 (de) 2006-02-10 2015-05-21 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich
JP2008153395A (ja) * 2006-12-15 2008-07-03 Nikon Corp 多層膜反射鏡、露光装置および半導体製造方法
TWI427334B (zh) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Euv蝕刻裝置反射光學元件
DE102008002403A1 (de) * 2008-06-12 2009-12-17 Carl Zeiss Smt Ag Verfahren zum Herstellen einer Mehrlagen-Beschichtung, optisches Element und optische Anordnung
DE102008042212A1 (de) * 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
DE102009054653A1 (de) * 2009-12-15 2011-06-16 Carl Zeiss Smt Gmbh Spiegel für den EUV-Wellenlängenbereich, Substrat für einen solchen Spiegel, Verwendung einer Quarzschicht für ein solches Substrat, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel oder einem solchen Substrat und Projetktionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv
JP5951010B2 (ja) * 2011-06-15 2016-07-13 エーエスエムエル ネザーランズ ビー.ブイ. 多層ミラー、多層ミラーを生成する方法およびリソグラフィ装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004532413A (ja) * 2001-05-01 2004-10-21 ザ・リージェンツ・オブ・ジ・ユニバーシティ・オブ・カリフォルニア 極値紫外線リソグラフィー(euvl)の多層構造
US20040033699A1 (en) * 2002-08-16 2004-02-19 Hector Scott Daniel Method of making an integrated circuit using an EUV mask formed by atomic layer deposition
JP2006258650A (ja) * 2005-03-17 2006-09-28 Nikon Corp 多層膜反射鏡および露光装置

Also Published As

Publication number Publication date
JP2016518624A (ja) 2016-06-23
TW201502061A (zh) 2015-01-16
JP6381632B2 (ja) 2018-08-29
TWI607960B (zh) 2017-12-11
WO2014177376A1 (en) 2014-11-06
CN105190372A (zh) 2015-12-23
DE102013207751A1 (de) 2014-10-30
KR20160002837A (ko) 2016-01-08
CN105190372B (zh) 2018-01-05
US20160116648A1 (en) 2016-04-28

Similar Documents

Publication Publication Date Title
JP5716038B2 (ja) Euvリソグラフィ用反射光学素子
US8537460B2 (en) Reflective optical element and EUV lithography appliance
JP6093753B2 (ja) Euvミラー機構、euvミラー機構を備えた光学系、及びeuvミラー機構を備えた光学系を操作する方法
TWI427334B (zh) Euv蝕刻裝置反射光學元件
JP6389896B2 (ja) 多層ミラー
TWI440900B (zh) 多層鏡及微影投影裝置
JP2014123747A (ja) 反射光学素子とその製造方法
WO2005038886A1 (ja) 多層膜反射鏡、多層膜反射鏡の製造方法、及び露光装置
US10916356B2 (en) Reflective optical element
KR102195200B1 (ko) 다층 코팅을 포함하는 광학 요소 및 이를 포함하는 광학 배열
JP5054707B2 (ja) 極紫外線スペクトル領域(euv)用の熱安定多層ミラー及び当該多層ミラーの使用
KR20120098886A (ko) Euv 리소그래피를 위한 반사 마스크
US20160327702A1 (en) Euv mirror and optical system comprising euv mirror
KR101625934B1 (ko) 다층 미러 및 리소그래피 장치
US11073766B2 (en) Reflective optical element and optical system for EUV lithography having proportions of substances which differ across a surface
JP6739576B2 (ja) 反射光学素子及びマイクロリソグラフィ投影露光装置の光学系
Yulin et al. EUV/soft x-ray multilayer optics
WO2017018293A1 (ja) Euv光用多層膜反射鏡
JP2007163180A (ja) 軟x線多層膜ミラー

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant