KR101941766B1 - 기판 처리 방법 및 기억 매체 - Google Patents

기판 처리 방법 및 기억 매체 Download PDF

Info

Publication number
KR101941766B1
KR101941766B1 KR1020170024037A KR20170024037A KR101941766B1 KR 101941766 B1 KR101941766 B1 KR 101941766B1 KR 1020170024037 A KR1020170024037 A KR 1020170024037A KR 20170024037 A KR20170024037 A KR 20170024037A KR 101941766 B1 KR101941766 B1 KR 101941766B1
Authority
KR
South Korea
Prior art keywords
film
processing vessel
gas
plasma
substrate
Prior art date
Application number
KR1020170024037A
Other languages
English (en)
Other versions
KR20170101138A (ko
Inventor
도모나리 우라노
교헤이 노구치
오사무 요코야마
다카시 고바야시
사토시 와카바야시
다카시 사쿠마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170101138A publication Critical patent/KR20170101138A/ko
Application granted granted Critical
Publication of KR101941766B1 publication Critical patent/KR101941766B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]

Abstract

본 발명은 플라즈마 CVD에 의해 웨이퍼상에 Ti막을 성막함에 있어, 막 중에의 N 원자의 취입을 억제하는 기술을 제공하는 것이다.
웨이퍼 W의 Si막(101)의 표면에 Ti막(103)을 성막한 후, 처리 용기(20) 중의 TiClx나 Clx를 포함하는 성분을 제거함에 있어 H2 가스의 플라즈마를 이용하고 있다. 그 때문에, Ti막(103) 중에서의 N의 취입을 억제할 수 있다. 따라서, Ti막(103)과 Si막(101)의 계면에 있어서의 Ti의 실리사이드화의 반응이 저해되지 않는다. 또한, 웨이퍼 W를 반출한 후에 있어서의 TiClx나 Clx를 포함하는 성분의 제거를 H2 가스의 플라즈마를 이용하는 것에 의해, 처리 용기(20) 중의 N를 더 억제할 수 있다. 또한, 처리 용기(20)의 내면에 실시하는 프리코트에서도 TiN막의 표면을 덮도록 Ti막을 성막하는 것에 의해, 웨이퍼의 W 표면에 Ti막(103)을 성막했을 때의 Ti막(103) 중의 N의 취입을 억제할 수 있다.

Description

기판 처리 방법 및 기억 매체{SUBSTRATE PROCESSING METHOD AND RECORDING MEDIUM}
본 발명은 처리 용기 내에서 진공 분위기 하에서 피처리 기판에 대해 금속막을 성막하는 기술에 관한 것이다.
반도체 디바이스의 미세화에 따라, 트랜지스터의 콘택트부에서도 미세화가 진행되고, 또 게이트 구조에 대해서도 플레이너(planer)형으로부터 3차원 구조인 멀티 게이트형의 핀 구조로 이행하고 있다. 콘택트부에서는, 하지의 Si(실리콘)층 혹은 SiGe(실리콘 게르마늄)층 위에 Ti(티탄)막을 성막하고, Si와 Ti를 반응시켜 실리사이드를 형성하고 있다. 그리고, Ti의 성막 수법으로서는, 스퍼터 성막보다 스텝 커버리지가 우수한 CVD(Chemical Vapor Deposition)를 이용하여 콘택트부를 형성하는 수법이 개발되어 있다.
CVD에 있어서의 Ti의 성막은, 처리 용기 내에 기판을 반입한 후, TiCl4(4염화티탄) 가스와 H2(수소) 가스의 혼합 가스를 플라즈마화하여 행해지지만, TiCl4에 포함되는 Cl(염소)가 불순물로서 Ti막 중에 들어가 버린다. 이 때문에, 기판에 성막된 Ti막 중에 Cl가 불순물로서 잔류하게 되고, 또한 Cl를 많이 포함한 Ti막은 불안정하므로, 처리 용기 내에 퇴적한 Ti막이 벗겨져 파티클로 된다. 또, Ti 성막 처리 후의 처리 용기 내의 진공 분위기 중에는 TixClx나 Clx 성분이 잔류하기 때문에, 기판 상에 성막된 Ti막의 표면을 에칭하여 당해 표면을 거칠어지게 해 버려, 기판의 이면에 TixClx가 부착되어 기판을 오염시키게 된다.
이 때문에, 기판에 대해 성막 처리가 종료된 후, 기판이 처리 용기 내에 놓여져 있는 상태에서 처리 용기 내에 NH3(암모니아) 가스를 흘려, Ti막 중의 Cl를 환원하여 제거함과 아울러 Ti막의 표면을 질화시켜 TiN(티탄나이트라이드)막을 형성하고, 막을 안정시키는 후처리를 행하고 있다. 또한, 기판의 교체시에 있어, 처리 용기 내에 기판이 놓여져 있지 않은 상태에서 상술한 혼합 가스를 플라즈마화하여 처리 용기 내의 분위기 중에 잔류하고 있는 Cl를 제거하면서, 처리 용기 내를 덮고 있는 Ti막의 표면에 TiN막을 성막하여 막의 안정화를 도모하고 있다.
또한, 처리 용기 내를 클리닝한 후, 기판에 대해 성막을 행하기 전에, 처리 용기의 내벽, 탑재대의 표면, 처리 가스를 공급하는 가스 샤워 헤드의 표면에 대해 사전에 박막을 성막하는 프리코트가 행해진다. 종래에서는 이 프리코트막에 대해서도, Ti막을 성막한 후, 처리 용기 내에 NH3 가스를 통류(通流)시키거나 혹은 NH3 플라즈마 발생시키는 것에 의해 Ti막의 표면을 질화시켜 안정화시키고 있다.
그러나, 디바이스의 미세화에 따라 Ti막이 박막화되어 가면, 기판이 처리 용기 내에 탑재된 상태에서 NH3 가스를 흘리는 것에 의해, Ti막 중에 들어가는 N(질소)가 Ti막의 표면을 질화시킬 뿐만 아니라, Ti막과 Si막의 계면에 있어서의 실리사이드화의 반응을 저해하여 버린다. 또, 프리코트막이 TiN막이고, 또한 기판의 성막시에 처리 용기의 내벽이나 가스 샤워 헤드의 표면에 성막된 Ti막을 기판의 교체시에 질화하여 TiN막으로 하고 있기 때문에, 기판의 성막 처리시에 생성한 플라즈마에 의해, 상세하게는 아르곤(Ar)+이온에 의해 이들 TiN막이 스퍼터링되어, 기판 상에 성막하고 있는 막 중에 N가 취입되게 된다. 이렇게 해서 취입된 N도 실리사이드화의 반응을 저해하여 버린다. 이 결과, 디바이스의 미세화가 보다 진행되면, 양호하고 저저항인 콘택트를 형성하는 것이 곤란하게 된다고 하는 과제가 있다.
특허문헌 1에는, 플라즈마 CVD에 의해 Ti막의 성막 처리를 행하는 챔버 내에 염소 가스를 도입하여 클리닝하고, 챔버 내의 니켈 부재와 반응하여 생성된 니켈 염화물을 수소 활성종에 의해 분해하는 기술이 기재되어 있지만, 본 발명과는 구성 요건이 상이하다.
또한, 특허문헌 2에는, 플라즈마 CVD에 의해 Ti막의 성막 처리를 행하는 챔버 내에 H2 가스를 흘린 채로 TiCl4 가스를 간헐적으로 공급하는 기술이 기재되어 있다. 이 기술은, 막 중의 Cl를 제거하면서, 저온 프로세스를 실현하는 것을 목적으로 하고 있어, 본 발명의 목적과는 상이하므로, 수법에 있어서도 본 발명과는 상이하다.
특허문헌 1: 일본 특허 공개 제2004-83983호 공보 특허문헌 2: 일본 특허 공개 제2004-232080호 공보
본 발명은 이러한 사정에 근거하여 이루어진 것으로, 그 목적은 플라즈마를 이용하여 기판 상에 금속막을 성막함에 있어, 막 중으로의 N의 취입을 억제할 수 있는 기술을 제공하는 것에 있다.
본 발명의 기판 처리 방법은, 플라즈마를 이용하여 피처리 기판에 금속막을 성막하는 방법에 있어서, 상기 금속을 포함하는 막에 의해 프리코트된 처리 용기 내에, 실리콘을 포함하는 층이 표면에 형성된 피처리 기판을 반입하고, 당해 처리 용기 내에 상기 금속과 할로겐의 화합물 및 수소 가스를 도입하여, 플라즈마를 생성해서 당해 피처리 기판에 금속막을 성막하는 공정과, 다음에 상기 처리 용기 내에, 수소 가스를 활성화시켜 얻은 플라즈마의 분위기를 형성하는 제 1 환원 처리 공정과, 그 후, 상기 피처리 기판을 상기 처리 용기 내로부터 반출하는 공정과, 계속해서 상기 처리 용기 내에, 수소 가스를 활성화시켜 얻은 플라즈마의 분위기를 형성하는 제 2 환원 처리 공정과, 그 후, 상기 처리 용기 내에 후속하는 피처리 기판을 반입하는 공정을 포함하는 것을 특징으로 한다.
본 발명의 기억 매체는, 처리 용기 내에서 플라즈마를 이용하여 피처리 기판에 금속막을 성막하는 장치에 이용되는 컴퓨터 프로그램을 기억하는 기억 매체로서, 상기 컴퓨터 프로그램은 상술한 기판 처리 방법을 실행하도록 스텝군이 짜여져 있는 것을 특징으로 한다.
본 발명은, 피처리 기판에 대해, 금속과 할로겐의 화합물 및 수소 가스를 도입하면서 플라즈마를 생성하여 성막 처리를 행함에 있어, 성막 후에 처리 용기 내에 피처리 기판이 놓여진 상태 및 피처리 기판이 반출된 상태의 각각에서 처리 용기 내에, 수소 가스를 활성화시켜 얻은 플라즈마의 분위기를 형성하고 있다. 따라서, 피처리 기판 및 처리 용기 내의 분위기로부터 할로겐을 제거할 수 있음과 아울러, 후처리인 환원 처리를, 암모니아를 사용하지 않고 행하고 있으므로, 피처리 기판의 금속막 중에 N이 취입되는 것이 억제된다.
도 1은 본 발명의 실시 형태에 따른 멀티 챔버 시스템의 평면도이다.
도 2는 웨이퍼에 Ti막을 성막하는 플라즈마 처리 장치의 종단면도이다.
도 3은 플라즈마 처리 장치에 있어서의 프리코트 처리의 공정을 나타내는 차트도이다.
도 4는 플라즈마 처리 장치에 있어서의 Ti막의 성막 처리의 공정을 나타내는 차트도이다.
도 5는 Ti막 성막 후 및 TiN막 성막 후의 웨이퍼의 표면 부근을 나타내는 단면도이다.
도 6은 본 발명의 실시 형태의 작용을 설명하는 설명도이다.
도 7은 본 발명의 실시 형태의 작용을 설명하는 설명도이다.
도 8은 비교예에 있어서의 성막 프로세스를 나타내는 차트도이다.
도 9는 본 발명 및 종래예에 있어서의 Ti막 중에 포함되는 N원자의 농도를 나타내는 특성도이다.
도 10은 Ti막 중에 포함되는 N원자의 농도의 저감을 설명하는 설명도이다.
도 11은 Ti막의 막 두께와 Ti막의 표면 거칠기를 나타내는 특성도이다.
도 12는 실시예 1, 2 및 비교예에 있어서의 TiSix막의 비저항을 나타내는 특성도이다.
도 13(a)~(c)는 실시예 1, 2 및 비교예에 있어서의 웨이퍼의 표면 부분의 단면의 사진이다.
도 14는 실시예 1, 2및 비교예에 있어서의 TiSix막의 막 두께를 나타내는 특성도이다.
도 15는 실시예 1, 2 및 비교예에 있어서의 X선 결정 구조 해석의 결과를 나타내는 특성도이다.
본 발명의 실시 형태에 따른 기판 처리 방법은, 도 1에 나타내는 멀티 챔버 시스템에 의해 행해진다. 피처리 기판인 반도체 웨이퍼(이하 「웨이퍼」라고 함) W는 로드 포트(11) 상의 반송 용기 C로부터 반송 암(15)에 의해 취출되고, 얼라이먼트실(16)→상압 반송실(12)→로드록실(13)로 반송된다. 다음으로, 웨이퍼 W는, 진공 반송실(14) 내의 반송 암(19)에 의해 로드록실(13)으로부터 취출되고, 먼저 프로세스 모듈인 COR 처리 장치(90)에 반송된다.
COR 처리 장치(90)는 웨이퍼 W에 반응 가스인 HF 가스 및 NH3 가스와, 희석 가스인 Ar 가스 및 N2 가스를 공급하도록 구성되어 있다. 이것에 의해 웨이퍼 W 표면의 Si막에 형성되는 자연 산화층(SiO2층)이 HF 가스와 NH3 가스와 반응하여, (NH4)2SiF6(규불화암모늄)나 물 등의 반응 생성물로 된다. 다음으로 웨이퍼 W는 어닐 장치(91)에 반송되어, 예를 들면 175℃로 가열된다. 이것에 의해, COR 처리 장치(90)에서 형성된 규불화암모늄이나 물 등의 반응 생성물이 휘발되어 제거된다. 그 후, 웨이퍼 W는 플라즈마 처리 장치(2)에서 후술하는 바와 같이 해서, 예를 들면 표면에 Si막이 형성된 웨이퍼 W에 Ti막이 플라즈마 CVD에 의해 성막되고, 계속해서 열 ALD 장치(92)에서 Ti막의 표면에 TiN막이 성막된다. 또한, 도 1 중의 100은 게이트 밸브이다.
또한, 멀티 챔버 시스템은, 예를 들면 컴퓨터로 이루어지는 제어부(9)가 마련되어 있다. 이 제어부(9)는 프로그램, 메모리, CPU로 이루어지는 데이터 처리부 등을 구비하고 있다. 프로그램은, 멀티 챔버 시스템 내의 반송 동작에 관한 프로그램 및 각 프로세스 모듈인 COR 처리 장치(90), 어닐 장치(91), 플라즈마 처리 장치(2) 및 열 ALD 장치(92)에서의 프로세스 레시피나 사전 처리, 후처리 등을 행하기 위한 레시피(프로그램) 등을 포함하고 있다. 프로그램은 컴퓨터 기억 매체, 예를 들면 플렉서블 디스크, 콤팩트 디스크, 하드 디스크, MO(광학 자기 디스크) 등의 기억부에 저장되어 제어부(9)에 인스톨된다.
다음으로, 플라즈마 처리 장치(2)에 대해 도 2를 참조하여 설명한다. 플라즈마 처리 장치(2)는 어스에 접지된 기밀한 금속제의 대략 원통형의 처리 용기(20)를 구비하고 있다. 처리 용기(20)의 바닥면의 중앙부에는 아래쪽으로 향해 돌출하는 예를 들면 원통형의 배기실(21)이 형성되고, 배기실(21)에서의 측면에는 배기로(22)가 접속되어 있다. 이 배기로(22)에는 예를 들면 버터플라이 밸브로 이루어지는 압력 조정 밸브 등을 구비한 압력 조정부(23)를 거쳐서 진공 펌프 등의 진공 배기부(24)가 접속되고, 처리 용기(20) 내가 소정의 진공 압력까지 감압될 수 있도록 구성되어 있다. 또한, 처리 용기(20)의 측면에는, 도시하지 않은 반송실과의 사이에서 웨이퍼 W의 반입출을 행하기 위한 반송구(25)가 형성되고, 이 반송구(25)는 게이트 밸브(100)에 의해 개폐 자유롭게 구성되어 있다.
처리 용기(20) 내에는 웨이퍼 W를 대략 수평으로 유지하기 위한 예를 들면 니켈(Ni)에 의해 구성된 탑재대(3)가 마련되어 있다. 탑재대(3)는 그 하면의 중심부에 금속제의 지지 부재(31)가 접속된다. 지지 부재(31)의 하단은 플랜지(33)가 형성되어 있고, 플랜지(33)는 접지 간격 고정용의 스페이서(34)를 거쳐서 배기실(21)의 바닥면에 고정되어 있다.
또한, 탑재대(3)에는 히터(36)가 매설되고, 제어부(9)로부터의 제어 신호에 근거하여, 도시하지 않은 전원부로부터 급전되는 것에 의해 웨이퍼 W가 설정 온도, 예를 들면 450℃ 정도의 온도로 가열되도록 구성되어 있다. 탑재대(3)의 표면에는, 웨이퍼 W를 유지하기 위한 오목부인 포켓(32)이 형성되어 있고, 웨이퍼 W는 포켓(32) 내에 탑재된다. 또, 탑재대(3)에는, 둘레 방향으로 예를 들면 3개의 관통 구멍(37)이 형성되고, 이 관통 구멍(37)에는, 탑재대(3) 상의 웨이퍼 W를 유지하여 승강시키기 위한 승강 부재를 이루는 3개의 승강 핀(41)이 마련되어 있다. 이들 승강 핀(41)은, 예를 들면 알루미나 등의 세라믹이나 석영에 의해 구성되어 있다. 승강 핀(41)은 지지체(42) 및 승강축(43)을 거쳐서 처리 용기(20)의 외부에 마련된 예를 들면 에어 실린더로 이루어지는 승강 기구(44)에 접속되어 있다. 승강 기구(44)는 배기실(21)의 하부에 설치되고, 배기실(21)의 하면에 형성된 승강축(43)용의 개구부(211)와 승강 기구(44)의 사이에는 벨로우즈체(45)가 마련되어 있다.
처리 용기(20)의 천정부에는, 절연 부재(28)를 거쳐서 상부 전극을 이루는 Ni제의 가스 샤워 헤드(5)가 마련되고, 이 가스 샤워 헤드(5)에는 정합기(511)를 거쳐서, 예를 들면 300~2500W의 고주파 전력을 인가하는 고주파 전원(51)이 접속되어 있다. 따라서, 본 발명의 플라즈마 처리 장치(2)는, 처리 용기(20) 내에 여기되는 가스를 공급함과 아울러, 상부 전극을 이루는 가스 샤워 헤드(5)와 하부 전극을 이루는 탑재대(3)의 사이에 고주파 전력이 인가되어 플라즈마가 발생하는 평행 평판형 플라즈마 처리 장치로서 구성되어 있다.
가스 샤워 헤드(5)의 내부에는 가스 공급실(52)이 형성되고, 가스 공급실(52)의 하면에는, 처리 용기(20) 내에 처리 가스를 분산 공급하기 위한 다수의 구멍(53)이, 예를 들면 균등하게 배치되어 있다. 또한, 가스 샤워 헤드(5)에 있어서의, 예를 들면 가스 공급실(52)의 위쪽측에는 가열 기구(54)가 매설되어 있고, 후술하는 제어부(9)로부터의 제어 신호에 근거하여, 도시하지 않은 전원부로부터 가열 기구(54)로 급전되는 것에 의해 설정 온도로 가열되도록 되어 있다.
가스 공급실(52)에는 가스 공급로(6)의 하류측 단부가 접속되고, 이 가스 공급로(6)의 상류측에는, TiCl4를 포함하는 원료 가스를 공급하는 공급용 유로로 되는 TiCl4 가스 공급관(611), 환원 가스를 공급하는 H2 가스 공급관(621), 질화용의 NH3 가스를 공급하는 NH3 가스 공급관(631), 및 Ar 가스 공급관(641)이 합류되어 있다. TiCl4 가스 공급관(611)의 상류측 단부에는 TiCl4 가스 공급원(61)이 접속되고, 상류측으로부터 유량 조정부 M1, 밸브 V1이 개설되어 있다. H2 가스 공급관(621)의 상류측 단부에는 H2 가스 공급원(62)이 접속되고, 상류측으로부터 유량 조정부 M2, 밸브 V2가 개설되어 있다. NH3 가스 공급관(631)의 상류측 단부에는 NH3 가스 공급원(63)이 접속되고, 상류측으로부터 유량 조정부 M3, 밸브 V3가 개설되어 있다. Ar 가스 공급관(641)의 상류측 단부에는 Ar 가스 공급원(64)이 접속되고, 상류측으로부터 유량 조정부 M4, 밸브 V4가 개설되어 있다.
또한, 플라즈마 처리 장치(2)는 기술한 제어부(9)가 접속되고, 제어부(9)에는, 후술하는 프리코트 처리 및 웨이퍼 W에 대한 플라즈마 처리를 포함하는 처리를 진행시키도록 명령(각 스텝)이 포함된 프로그램을 구비하고 있다.
계속해서, 본 발명의 실시 형태에 따른 기판 처리 방법의 작용에 대해 설명한다. 먼저 기술한 바와 같이 해서, COR 처리 장치(90) 및 어닐 장치(91)에서, Si막 표면의 자연 산화층이 제거된 웨이퍼 W는 플라즈마 처리 장치(2)에서 Si막의 표면에 Ti막이 성막되지만, 플라즈마 처리 장치(2)에서는 미리 플라즈마 처리 장치(2)의 내면에 Ti막을 성막하는 프리코트 처리가 행해져 있다. 프리코트의 타이밍으로서는, 플라즈마 처리 장치(2)를 설치하고 처음으로 운전을 행할 때, 혹은 플라즈마 처리 장치(2)의 메인터넌스를 종료한 후 등을 들 수 있다.
프리코트 처리에 대해 도 3의 흐름도를 참조해 설명한다. 플라즈마 처리 장치(2)에서는, 웨이퍼 W를 반입하지 않고, 우선 진공 배기부(24)에 의해 처리 용기(20) 내의 배기를 행하여 압력을 예를 들면 200㎩로 설정한다. 다음으로 처리 용기(20) 내에 TiCl4 가스, Ar 가스 및 H2 가스를 각각 공급한다. 또, 고주파 전원(51)으로부터의 고주파 전력을 인가한다. 이것에 의해 처리 용기(20) 내에 플라즈마가 생성되고, TiCl4와 H2의 반응에 의해 처리 용기(20)의 내면, 가스 샤워 헤드(5)의 하면 및 탑재대(3)의 표면에 예를 들면 막 두께 5㎚의 Ti막이 성막된다(스텝 S1).
다음에 TiCl4 가스 및 H2 가스의 공급을 정지함과 아울러, 처리 용기(20) 내에 NH3 가스 및 Ar 가스를 공급하고, 고주파 전원(51)으로부터 고주파 전력을 인가한다. 이것에 의해, NH3 가스가 활성화되고, 스텝 S1에서 처리 용기(20), 가스 샤워 헤드(5) 및 탑재대(3)에 성막된 Ti막이 질화되어 TiN막으로 된다(스텝 S2).
그리고, 스텝 S2의 Ti막의 질화 처리 후, 다시 스텝 S1의 Ti막의 성막을 행하고, 또 그 후 스텝 S2의 Ti막의 질화 처리를 행한다. 이와 같이, 스텝 S1의 Ti막의 성막과 스텝 S2의 Ti막의 질화 처리를 n(n은 1 이상의 자연수)회 반복하여 행하고, 200㎚ 이상, 예를 들면 550㎚의 막 두께의 TiN막을 성막한다.
처리 용기(20), 가스 샤워 헤드(5) 및 탑재대(3)는, 기술한 바와 같이 예를 들면 Ni로 구성되어 있다. Ni의 표면에 Ti막을 성막하면, Ni와 Ti가 반응하여 반응 생성물로 되어 고착하게 된다. 그 때문에, Ti막을 성막한 후, 신속히 질화하여 TiN막으로 된다. 또한, 스텝 S2에서는, 처리 용기(20) 내에 NH3 가스를 공급하고, 고주파 전력을 인가하지 않고 Ti막을 질화해도 좋다.
계속해서, 처리 용기(20) 내로의 NH3 가스의 공급을 정지하고, TiCl4 가스, Ar 가스 및 H2 가스를 공급하고, 고주파 전원(51)으로부터 고주파 전력을 인가한다(스텝 S3). 이것에 의해, 처리 용기(20)의 내면, 가스 샤워 헤드(5)의 하면 및 탑재대(3)의 표면에 성막된 TiN막의 표면에 예를 들면 5㎚의 막 두께로 Ti막을 성막한다.
또 그 후 TiCl4 가스 및 Ar 가스의 공급을 정지하고, 처리 용기(20) 내에 H2 가스를 공급한 상태에서 고주파 전원(51)에 의해 고주파 전력을 인가한다. 이것에 의해, 처리 용기(20) 내에서는, H2 가스가 활성화되어 플라즈마화한다(스텝 S4). 스텝 S1 및 스텝 S3에 있어서의 Ti막의 성막시에 TiCl4 가스를 공급하고 있기 때문에, 처리 용기(20) 내의 분위기 중이나 처리 용기(20)의 내벽에는, Ti의 염화물이나 염소 단체가 잔류하고 있다. 또한, 명세서 중에서는, Ti의 염화물을 총칭하여 TiClx로서 나타내고, 염소 단체를 총칭해 Clx라고 나타낸다. 이들 처리 용기(20) 내에 잔류하는 TiClx나 Clx를 포함하는 성분이 H2 가스의 플라즈마에 의해 환원되어 제거된다. 또한, 스텝 S4에서는, H2 가스와 함께, Ar 가스를 공급해도 좋다.
그리고, 스텝 S4의 TiClx나 Clx를 포함하는 성분의 환원 제거 처리 후, 다시 스텝 S3의 Ti막의 성막을 행하고, 또 그 후 스텝 S4의 TiClx나 Clx를 포함하는 성분의 환원 제거 처리를 행한다. 이와 같이, 스텝 S3의 Ti막의 성막과 스텝 S4의 TiClx나 Clx를 포함하는 성분의 환원 제거 처리를 m(m은 1 이상의 자연수)회 반복하여 행하고, 300㎚ 이상, 예를 들면 850㎚의 막 두께의 Ti막을 성막한다.
이와 같이, 도 3에 나타내는 스텝 S1 내지 스텝 S4의 프리코트 처리를 행하는 것에 의해, 처리 용기(20) 내에서는, 처리 용기(20)를 구성하는 예를 들면 Ni 등의 금속 표면에 예를 들면 550㎚의 막 두께의 TiN막이 성막되고, 또 TiN막의 표면에 850㎚의 Ti막이 성막된 상태로 된다. 그리고, 또 처리 용기(20) 내로부터, TiClx나 Clx를 포함하는 성분이 제거된 상태로 되어 있다. 그리고, 충분히 TiClx나 Clx를 포함하는 성분이 제거된 후, Ar 가스, H2 가스의 공급을 정지함과 아울러, 고주파 전력의 인가를 정지하고, 프리코트를 종료한다.
다음에, 프리코트 처리를 행한 플라즈마 처리 장치(2)에서의 웨이퍼 W의 처리에 대해 도 4를 참조하여 설명한다. 먼저 기술한 바와 같이 자연 산화막이 제거된 웨이퍼 W가 반송 암(19)과 승강 핀(41)의 협동 작용에 의해 탑재대(3)에 탑재된다(스텝 S11).
그 후 게이트 밸브(100)을 닫고, 진공 배기부(24)에 의해 배기를 행하여, 처리 용기(20) 내의 압력을 예를 들면 133㎩로 설정한다. 그 후, 처리 용기(20) 내에 TiCl4 가스를 예를 들면 10sccm의 유량, Ar 가스를 예를 들면 1000sccm의 유량, 및 H2 가스를 예를 들면 10sccm의 유량으로 각각 공급하고, 고주파 전원(51)으로부터 고주파 전력을 인가한다(스텝 S12). 이것에 의해, TiCl4 가스와 H2 가스가 활성화되어 반응하고, 웨이퍼 W의 표면에 예를 들면 5㎚의 막 두께의 Ti막이 성막된다.
또 후술하지만, 도 5(a)에 나타내는 바와 같이 Ti막(103)과 하지의 Si막(101)의 계면은 성막시에 반응하여 TiSix가 된다. 그러나, 성막시에 모든 Ti막(103)이 Si와 반응하여 TiSix가 되는 것은 아니며, 표면에서 심층으로 향해 서서히 Ti막(103)으로부터 TiSix막(102)으로 변화하는 상태로 된다. 그 때문에, Ti막(103)의 막 두께 및 TiSix막(102)의 막 두께를 형광 X선 분석(XRF)이나 편광 해석법(엘리프소메트리(ellipsometry)) 등에 의해 간이적으로 막 두께를 측정하는 것이 곤란하다. 그 때문에, 여기서는 Ti막(103)의 막 두께는 하지막을 Si막(101)으로부터 SiO2막으로 대신한 것을 제외하고 마찬가지로 성막했을 때에 성막되는 Ti막(103)의 막 두께로 나타내고 있다.
Si막(101)의 표면에 Ti막(103)을 성막하면 도 5(a)에 나타내는 바와 같이 Si막(101)과 Ti막(103)의 계면에서 Ti의 실리사이드화가 일어난다. 그 때문에, Si막(101)과 Ti막(103)의 사이에는, TiSix막(102)의 층이 형성된다. 또 명세서 중에서는, 실리사이드화한 Ti를 총칭하여 TiSix라고 표기한다. 그 후, TiCl4 가스 및 H2 가스의 공급을 정지함과 아울러, 고주파 전력의 인가를 정지하고, 처리 용기(20) 내에 Ar 가스를 공급한다(스텝 S13). 이것에 의해, 처리 용기(20)에 잔류하는 TiCl4 가스 및 H2 가스가 제거되어, TiCl4 및 H2의 반응이 정지하고, Ti막(103)의 성막이 정지한다.
그 후, 처리 용기(20) 내에 웨이퍼 W를 탑재한 상태에서, Ar 가스의 공급을 정지하여, 압력을 예를 들면 200㎩로 설정한다. 그리고, 처리 용기(20) 내에 H2 가스를 예를 들면 4000sccm의 유량으로 공급하고, 예를 들면 1350W의 고주파 전력을 60초간 인가해서 제 1 환원 처리를 행한다(스텝 S14). 이것에 의해, 도 6에 나타내는 바와 같이, 처리 용기(20) 내에서 H2 가스가 활성화된다. 또 스텝 S14에서, H2 가스와 함께, Ar 가스를 공급해도 좋다.
스텝 S12에서, TiCl4 가스 및 H2 가스를 공급하고 있기 때문에, 처리 용기(20) 내나 웨이퍼 W의 표면에는, TiClx나 Clx를 포함하는 성분이 잔류하고 있다. 그리고, 스텝 S14에서 H2 가스를 활성화하면, H2 가스를 활성화하여 얻은 플라즈마에 의해, TiClx나 Clx를 포함하는 성분이 환원되어 제거된다. 또 도 6 및 후술하는 도 7에서는, 플라즈마 처리 장치(2)를 간략화하여 기재하였다.
그리고, H2 가스의 공급을 정지함과 아울러 고주파 전력의 인가를 정지하고, 게이트 밸브(100)를 열고, 반송 암(19)에 의해 웨이퍼 W를 플라즈마 처리 장치(2)로부터 반출한다(스텝 S15). 또 계속해서, 게이트 밸브(100)를 닫고, 처리 용기(20)를 밀폐한 후, 도 7에 나타내는 바와 같이 처리 용기(20) 내에 웨이퍼 W를 탑재하고 있지 않는 상태에서, 제 2 환원 처리를 예를 들면 60초간 행한다(스텝 S16). 이 제 2 환원 처리는, 처리 용기(20) 내의 압력을 예를 들면 200㎩로 하고, 예를 들면 4000sccm의 유량의 H2 가스를 처리 용기(20)에 공급하고, 예를 들면 1350W의 고주파 전력을 인가하는 것에 의해, 처리 용기(20) 내에 H2 가스의 플라즈마를 여기함으로써 행해진다. 이것에 의해, 제 1 환원 처리를 행하여도 완전하게는 다 제거할 수 없어 처리 용기(20) 내에 TiClx나 Clx를 포함하는 성분이 잔존하고 있는 경우에도, 이 제 2 환원 처리에 의해, 처리 용기(20) 내에 잔존하고 있는 TiClx나 Clx를 포함하는 성분이 보다 확실히 환원되어 제거된다. 또 스텝 S16에서, H2 가스와 함께, Ar 가스를 공급해도 좋다.
따라서, 플라즈마 처리 장치(2)에서, 후속하는 웨이퍼 W를 반입하여 Ti막(103)의 성막 처리를 행할 때 처리 용기(20) 내에 잔존하고 있는 TiClx나 Clx를 포함하는 성분을 억제할 수 있다.
한편, 플라즈마 처리 장치(2)로부터 반출된 웨이퍼 W는 반송 암(19)에 의해 열 ALD 장치(92)에 반입된다. 그리고, 열 ALD 장치(92)에서, 처리 용기(20) 내에 N2 가스를 공급하면서, TiCl4 가스와 NH3 가스를 소정의 시간 간격으로 교대로 반복하여 공급한다. 이것에 의해, 도 5(b)에 나타내는 바와 같이 Ti막(103)의 표층에 TiN막(104)이 적층된다.
이렇게 해서 도 5(b)에 나타내는 바와 같이 Ti막(103)의 표층에 TiN막(104)이 성막된 웨이퍼 W는, 반송 암(19)에 의해, 진공 분위기의 로드록실(13)에 반송된다. 그 다음에 로드록실(13)을 대기 분위기로 전환한 후, 웨이퍼 W는, 반송 암(65)에 의해, 예를 들면 원의 반송 용기 C에 되돌려진다. 그리고 TiN막(104)가 성막된 웨이퍼 W의 표층에는, 후속 공정에서, 예를 들면 전극을 형성하는 텅스텐(W)막이 성막된다.
상술한 바와 같이 실시 형태에 있어서는, 플라즈마 처리 장치(2)에서, 표면에 Si막(101)이 형성된 웨이퍼 W에 Ti막(103)을 성막하고 있다. Si막(101)의 표면에 Ti막(103)을 성막함에 있어, Ti막(103)중에 Cl 원자가 취입되어 버리면, 막 중에 취입된 Cl 원자가 수소 원자와 반응하여 HCl로 되어, Ti막(103)의 표면을 손상시켜 버린다. 그 때문에, TiCl4 가스를 공급한 후, 처리 용기(20) 내에 잔존하는 TiClx나 Clx를 포함하는 성분을 제거할 필요가 있다.
TiClx나 Clx를 포함하는 성분을 제거함에 있어서는, 배경 기술에 기재한 바와 같이, 예를 들면 NH3 가스를 이용하여 NH4Cl 등의 반응 생성물로서 제거할 수 있다. 그러나, NH3 가스를 이용한 경우에는, 처리 용기(20) 내에 N 원자가 잔류하여, 웨이퍼 W에 성막되는 Ti막(103) 중에 N 원자가 취입되어 Ti의 실리사이드화의 반응이 저해된다. 그 때문에, Ti막(103)의 박막화가 진행되면 전기적 특성에의 악영향이 표면화하게 된다.
상술한 실시 형태에 의하면, 플라즈마 처리 장치(2)에서, 웨이퍼 W의 Si막(101)의 표면에 Ti막(103)을 성막한 후, 처리 용기(20) 중의 TiClx나 Clx를 포함하는 성분을 제거함에 있어 H2 가스를 활성화하여 얻은 플라즈마(수소 플라즈마)를 이용하고 있다. 그 때문에, 처리 용기(20) 내의 N 원자가 억제되고, 웨이퍼 W에 성막되는 Ti막(103) 중에 있어서의 N 원자의 취입을 억제할 수 있다. 따라서, Ti막(103)과 Si막(101)의 계면에 있어서의 Ti의 실리사이드화의 반응이 저해되지 않고 TiSix막(102)이 균일한 막 두께로 형성된다.
또한, 처리 용기(20) 중에 웨이퍼 W를 놓아둔 상태에서 장시간 플라즈마 처리를 행하면 웨이퍼 W에 데미지를 줄 우려가 있다. 따라서, 웨이퍼 W가 놓여진 상태와 웨이퍼 W를 반출한 상태로 분담하여 수소 플라즈마에 의한 환원 처리를 행하는 것(제 1 환원 처리와 제 2 환원 처리를 행하는 것)이 바람직하다.
제 2 환원 처리에서도 NH3 가스를 이용하지 않고 수소 플라즈마에 의해 TiClx나 Clx를 포함하는 성분을 제거하고 있다. 그 때문에, 처리 용기(20) 내에 N 원자의 잔류를 억제할 수 있다. 따라서, 플라즈마 처리 장치(2)에 후속하는 웨이퍼 W를 반입하고, 웨이퍼 W에 Ti막(103)의 성막을 행할 때에 Ti막(103) 중의 N 원자의 취입을 억제할 수 있다.
또한, 제 1 환원 처리와 제 2 환원 처리 중, 웨이퍼 W가 놓여진 상태에서 수소 플라즈마에 의한 환원 처리를 행하는 제 1 환원 처리만을 행하는 경우에도 웨이퍼 W에 성막되는 Ti막(103) 중에 있어서의 N 원자의 취입을 억제할 수 있기 때문에 효과를 얻을 수 있다. 또 웨이퍼 W를 반출한 상태에서 수소 플라즈마에 의한 환원 처리를 행하는 제 2 환원 처리만을 행하도록 한 경우에도, 마찬가지로 처리 용기(20) 중에 남은 N 원자를 억제할 수 있기 때문에, 후속하는 웨이퍼 W에 성막하는 Ti막(103) 중에 있어서의 N 원자의 취입을 억제할 수 있기 있으므로 효과를 얻을 수 있다.
제 2 환원 처리는, 처리 용기(20)내에 웨이퍼 W를 탑재하고 있지 않기 때문에, 제 1 환원 처리보다 긴 시간, 예를 들면 90초 행하도록 하여도 좋다. 또한 예를 들면, 제 1 환원 처리는, 예를 들면 H2 가스의 공급 유량을 적게 하고, 플라즈마의 강도를 낮게 하고, 제 2 환원 처리에서는, H2 가스의 공급 유량을 많게 하고 플라즈마의 강도를 높이도록 하여도 좋다.
또 플라즈마 처리 장치(2)의 처리 용기(20)의 내면에 프리코트를 행함에 있어, 처리 용기(20)의 내면에 TiN막을 성막한 후, TiN막의 표면을 덮도록 Ti막을 성막하고 있다. 기술한 바와 같이 예를 들면 Ni 등의 금속 표면에 Ti막을 성막하면 Ni와 Ti의 반응 생성물이 고착되어 버려, 그 반응 생성물을 제거하는 것이 곤란하게 된다. 그 때문에, Ni의 표면에 성막한 Ti막은 질화되어 TiN막으로 되지만, 프로세스시에 플라즈마에 의해, TiN막이 스퍼터링되고, N 원자가 이탈하여, 웨이퍼 W에 형성되는 Ti막(103)에 N 원자가 취입될 우려가 있다.
이에 반해, Ni 표면에 성막한 TiN막의 표면에 Ti막을 또 성막하고, 수소 플라즈마에 의해 환원 처리를 행하고 있다. 따라서, 프리코트막의 표면은 Cl이 제거된 안정된 Ti막으로 되어 있으므로, 프로세스시에 프리코트막으로부터 N이 이탈하는 것을 억제할 수 있고, 또한 Ti막의 막 벗겨짐의 우려가 없다.
또한, 웨이퍼 W에 성막하는 Ti막(103)의 두께가 얇아지면, Ti막(103)의 표면의 N이 Si막(101)과 Ti막(103)의 계면까지 도달하기 쉬워지기 때문에, 실리사이드화를 저해하기 쉬워진다. 그 때문에, 스텝 S12에서, Si막(101)의 표면에 적층하는 Ti막(103)의 두께(하지막을 Si막(101)으로부터 SiO2막으로 대신한 것을 제외하고 동일하게 Ti막(103)을 성막했을 때에 성막되는 Ti막(103)의 막 두께)는, 예를 들면 10㎚ 이하인 경우에는 보다 효과가 크다.
또한, 본 발명은, TiCl4 가스 대신에 TiF4 등의 가스를 이용하여 Ti막(103)을 성막하는 기판 처리 방법이어도 좋다. 또, 예를 들면 탄 탈(Ta)막을 플라즈마 CVD에 의해 성막하는 기판 처리 방법이어도 좋다.
또 원료 가스, 예를 들면 TiCl4 가스와 반응 가스, 예를 들면 플라즈마화한 H2 가스를 교대로 간헐적으로 반복하여 공급하고, Ti막(103)을 성막하는 ALD법이어도 좋다.
(실시예)
본 발명의 효과를 검증하기 위해서 실시예 1, 2 및 비교예에 따른 샘플을 작성하고, 이하의 시험을 행하였다.
(실시예 1)
상술한 실시 형태에 따라 처리를 행한 웨이퍼 W를 실시예 1로 하였다.
(실시예 2)
도 8(a)에 나타내는 바와 같이 도 3에 나타낸 프리코트 처리에서 스텝 S2에 있어 NH3 가스를 이용하여, Ti막의 질화를 행하고, 또한 그 후에 스텝 S3, 및 S4를 행하지 않고 프리코트한 플라즈마 처리 장치(2)에 의해, 실시예 1과 동일한 프로세스 처리를 행한 웨이퍼 W를 실시예 2로 했다. 실시예 2에서는, 스텝 S1 및 스텝 S2를 예를 들면 n회 반복하고, TiN막을 막 두께 850㎚로 성막하였다.
(비교예)
도 4에 나타낸 웨이퍼 W의 처리의 프로세스에서, 스텝 S14에 있어서의 제 1 환원 처리를 NH3 가스를 이용하여 행하고, 스텝 S16에 있어서의 제 2 환원 처리를 NH3 가스를 이용한 플라즈마 처리에 의해 TiN막을 성막하였다. 또한, 프리코트막으로서 막 두께 550㎚의 TiN막만을 성막한 예를 비교예로 하였다. 또한, 도 8(a)의 스텝 S1, S2 및 도 8(b)의 스텝 S21~S26은 각각 비교예에 있어서의 프리코트 처리와 프로세스 처리에서의 스텝군을 나타낸다.
실시예 1, 2 및 비교예에 따라 처리된 웨이퍼 W에 대해 표면 X선 광전자 분광 분석을 이용하여 N 원자의 농도를 확인하였다. 도 9는 이 결과를 나타내며, 가로축은 조사한 X선을 기준으로 했을 때의 광전자의 결합 에너지, 세로축은 관측된 광전자의 강도를 나타낸 특성도이다. 또한, 결합 에너지가 398eV로 되는 위치에 나타나는 피크는 N 원자를 나타낸다.
도 9에 나타내는 바와 같이, 비교예에서는, N 원자가 많이 검출되어 있고, Ti막(103) 중의 농도로 50.1원자% 정도의 N 원자가 관측되었다. 이에 반해, 실시예 1에서는 1.3원자% 정도의 N 원자가 관측되고, 실시예 2에서는 10.6원자%의 N 원자가 관측되고 있었다.
이 도 9의 결과에 대해 도 10에 모식적으로 나타냈다. 도 10에 나타내는 바와 같이, 비교예에서는, Ti막(103) 중의 N 원자의 농도는 50원자% 정도이지만, 프리코트를 제외하고, 제 1 환원 처리 및 제 2 환원 처리를 실시예 1과 마찬가지로 수소 플라즈마를 이용하는 것에 의해 웨이퍼 W에 형성된 Ti막(103) 중의 N 원자의 농도를 10원자% 정도까지 저감할 수 있는 것을 알 수 있다. 또, 처리 용기(20) 내에 행하는 프리코트를 TiN막만으로 하는 것 대신에, TiN막의 표면을 Ti막에 의해 덮고, 다음에 수소 플라즈마 처리를 행하는 것에 의해, 웨이퍼 W에 형성된 Ti막(103) 중의 N 원자의 농도를 2원자% 이하까지 저감할 수 있는 것을 알 수 있다.
이 결과에 의하면, 처리 용기(20) 내에 웨이퍼 W가 놓여졌을 때에 행하는 제 1 환원 처리 및 웨이퍼 W의 교체시에 행하는 제 2 환원 처리에서, 수소 플라즈마를 이용하는 것에 의해, Ti막(103) 중의 N 원자의 농도를 저감할 수 있다. 또, 처리 용기(20) 내에 행하는 프리코트를 TiN막의 표면을 Ti막에 의해 덮도록 하는 것에 의해, 웨이퍼 W에 형성된 Ti막(103) 중의 N 원자의 농도를 더 저감할 수 있다고 말할 수 있다.
또한, 실시예 및 비교예 1에 의해 성막한 샘플에 대해 성막된 Ti막(103)의 막 두께와 표면 거칠기를 측정하였다. 또한, 막 두께는, 형광 X선 분석에 의해 각 샘플 중의 Ti 원자의 양을 측정하고, PVD(물리 기상 성장법)으로 Ti막(103)을 성막할 때의 Ti 원자의 밀도인 막의 경우에 있어서의 막 두께로 환산하여 표기하고 있다. 또한, 파티클 측정기에 의해 샘플의 표면의 측정을 행하고, 산출된 헤이즈 레벨의 값을 표면 거칠기로 하였다. 도 11은 이 결과를 나타내고, 실시예 1 및 비교예에 따른 샘플에 대해, PVD 환산 막 두께와 표면 거칠기를 나타내는 특성도이다. 도 11에 나타내는 바와 같이 비교예에 비해 실시예 1의 샘플에서는, 웨이퍼 W의 Ti막(103)의 막 두께에 대한 표면 거칠기의 값이 작아져 있는 것을 알 수 있다.
또한 실시예 1, 2 및 비교예의 각각의 샘플에서, Ti막(103)을 성막한 후 In situ에서, Ti막(103)의 상층에 산화 방지용의 TiN의 cap를 성막하였다. 그 후 실시예 1, 2 및 비교예의 샘플을 진공 분위기로 아닐 처리를 행하고, 실리사이드 반응을 보다 촉진시켜, TiSix막(102)를 형성한 후, TiSix막(102)의 시트 저항을 측정하고, 비저항을 산출하여 비교하였다. TiSix막(102)의 비저항은 TiN막(104) 단막으로 측정한 시트 저항을 샘플 중의 TiN막(104)분의 저항으로 환산하여 샘플의 시트 저항으로부터 빼는 것에 의해 산출하였다. 도 12는 이 결과를 나타내며, 실시예 1, 2 및 비교예에 있어서의 TiSix막(102)의 비저항을 나타내는 특성도이다.
이 결과, 실시예 1의 TiSix막(102)의 비저항은 207μΩ·cm이고, 실시예 2의 TiSix막(102)의 비저항은 472μΩ·cm이었다. 또한, 비교예의 TiSix막(102)의 비저항은 620μΩ·cm이었다. 실시예에서는, 비교예에 비해, TiSix막(102)의 비저항이 크게 감소하고 있으며, 특히 실시예 1에서는 비교예의 1/3로 감소하고 있었다.
또, 실시예 1, 2 및 비교예의 시트 저항을 측정한 샘플의 단면을 투과형 전자 현미경으로 촬영하였다. 도 13(a)~(c)는 이 결과를 나타낸다. 또한, 각 사진 중의 각각 5개소의 지점에서 TiSix막(102)의 막 두께에 대해 측정하고, 평균값을 구했다. 도 14는 이 결과를 나타내며 실시예 1, 2 및 비교예에 있어서의 각 샘플의 TiSix막(102)의 막 두께를 나타내는 특성도이다. 또, 도 14의 박스플롯(boxplot)에서, 수염의 상단 및 하단은 각각 최대값 및 최소값을 나타내고, 박스의 상하의 위치는 각각 중앙값에서 보아, 제 3 사분위 수로 제 1 사분위 수를 나타낸다.
도 14에 나타내는 바와 같이, 실시예 1에서는, TiSix막(102)의 평균 막 두께는 7.2㎚이었다. 또한, 비교예에서는, TiSix막(102)이 명확하게 형성되지 않고, TiSix막(102)의 평균 막 두께는 15.8㎚이었다. 비교예는, 실시예 1과 비교하여 웨이퍼 W의 표면 거칠기도 크고, TiSix막(102)의 막 두께의 편차도 컸다. 또, TiSix막(102)의 표면 거칠기도 컸다.
또한, 실시예 2에서는, TiSix막(102)의 평균 막 두께는 14.3㎚이고, 비교예에서는, TiSix막(102)의 평균 막 두께는 15.8㎚이며, 비교예에 비해 개선을 볼 수 있었다.
이와 같이 실시예 1, 2의 샘플에 비해, 비교예의 샘플에서는, TiSix막(102)의 막 두께가 균일하지 않기 때문에, 도 13(c)에 나타내는 바와 같이 표면 거칠기가 커져 있다. 이에 반해, 실시예 1에서는, TiSix막(102)의 막 두께가 균일하게 형성되어 있기 때문에, 표면 거칠기가 작아져 있다.
또한, 당해 샘플을 X선 결정 구조 해석에 의해 TiSix막(102)의 결정성을 확인하였다. 도 15는 이 결과를 나타내며, 실시예 1, 2 및 비교예에 있어서의 X선 결정 구조 해석의 결과를 나타낸다. 도 15에 나타내는 바와 같이, 실시예 1에서는, C49 상(相)의 TiSi2(061) 및 C49 상의 TiSi2(200)의 결정을 나타내는 피크가 크게 나타나고 있었다. 또한, 실시예 2에서도 C49 상의 TiSi2(061) 및 C49 상의 TiSi2(200)의 결정을 나타내는 피크를 볼 수 있었지만, 비교예에서는, C49 상의 TiSi2(060)의 결정을 나타내는 피크가 겨우 보이고, C49 상의 TiSi2(061) 및 C49 상의 TiSi2(200)의 결정을 나타내는 피크는 거의 볼 수 없었다. 이와 같이, 실시예 1, 2는, 비교예에 비해 실리사이드화가 촉진되어, TiSix막(102)의 결정화가 진행되고 있는 것을 확인할 수 있다.
이와 같이, 본 발명에 의하면, Ti막(103) 중에 취입되는 N 원자를 억제할 수 있기 때문에, Ti막(103)과 Si막(101)의 계면에서의 Ti의 실리사이드화의 저해를 억제할 수 있고, 그 결과 막 두께가 균일하고 저저항인 TiSix막(102)를 형성할 수 있다고 말할 수 있다.
2: 플라즈마 처리 장치
3: 탑재대
5: 가스 샤워 헤드
9: 제어부
20: 처리 용기
51: 고주파 전원
90: COR 처리 장치
91: 어닐 장치
92: 열 ALD 장치
101: Si막
102: TiSix
103: Ti막
104: TiN막
W: 웨이퍼

Claims (6)

  1. 플라즈마를 이용하여 피처리 기판에 금속막을 성막하는 방법에 있어서,
    상기 금속을 포함하는 막에 의해 프리코트된 처리 용기 내에, 실리콘을 포함하는 층이 표면에 형성된 피처리 기판을 반입하고, 상기 처리 용기 내에 상기 금속과 할로겐의 화합물 및 수소 가스를 도입하여, 플라즈마를 생성해서 상기 피처리 기판에 금속막을 성막하는 공정과,
    다음에, 상기 처리 용기 내에, 상기 피처리 기판이 놓여진 상태에서, 수소 가스를 활성화시켜 얻은 플라즈마의 분위기를 형성하여, 상기 금속막의 성막 이후에 상기 처리 용기 내 및 상기 피처리 기판의 표면 상에 잔류하는 할로겐 성분을 제거하는 제 1 환원 처리 공정과,
    그 후, 상기 피처리 기판을 상기 처리 용기 내로부터 반출하는 공정과,
    계속해서 상기 피처리 기판이 반출되고 나서, 상기 처리 용기 내에, 수소 가스를 활성화시켜 얻은 플라즈마의 분위기를 형성하여, 상기 제 1 환원 처리 공정 이후에 상기 처리 용기 내에 잔류하는 할로겐 성분을 제거하는 제 2 환원 처리 공정과,
    그 후, 상기 처리 용기 내에 후속하는 피처리 기판을 반입하는 공정
    을 포함하되,
    상기 방법은 상기 피처리 기판을 반입하는 공정 전에 프리코트를 수행하는 공정을 더 포함하고,
    상기 프리코트를 수행하는 공정은
    상기 처리 용기 내에 상기 화합물 및 수소 가스를 도입하면서 플라즈마를 생성하여 상기 처리 용기 내를 금속막에 의해 프리코트하는 공정과,
    계속해서 상기 처리 용기 내에, 수소 가스를 활성화시켜 얻은 플라즈마의 분위기를 형성하여 상기 처리 용기 내를 금속막에 의해 프리코트하는 공정 이후에 상기 처리 용기 내에 잔류하는 할로겐 성분을 제거하는 공정
    을 포함하는 것을 특징으로 하는 기판 처리 방법.
  2. 제 1 항에 있어서,
    상기 금속막을 성막하는 공정은 플라즈마 CVD를 행하는 공정인 것을 특징으로 하는 기판 처리 방법.
  3. 삭제
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 금속막은 티탄막이고, 상기 화합물은 4염화티탄인 것
    을 특징으로 하는 기판 처리 방법.
  5. 제 1 항에 있어서,
    상기 금속막은 티탄막이고, 티탄막에 의해 프리코트하는 공정 전에, 상기 처리 용기 내를 티탄나이트라이드막에 의해 프리코트하는 공정을 행하는 것
    을 특징으로 하는 기판 처리 방법.
  6. 처리 용기 내에서 플라즈마를 이용하여 피처리 기판에 금속막을 성막하는 장치에 이용되는 컴퓨터 프로그램을 기억하는 기억 매체로서,
    상기 컴퓨터 프로그램은 청구항 1, 2, 5 중 어느 한 항에 기재된 기판 처리 방법을 실행하도록 스텝군이 짜여져 있는 것
    을 특징으로 하는 기억 매체.
KR1020170024037A 2016-02-26 2017-02-23 기판 처리 방법 및 기억 매체 KR101941766B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016036013A JP6583054B2 (ja) 2016-02-26 2016-02-26 基板処理方法及び記憶媒体
JPJP-P-2016-036013 2016-02-26

Publications (2)

Publication Number Publication Date
KR20170101138A KR20170101138A (ko) 2017-09-05
KR101941766B1 true KR101941766B1 (ko) 2019-01-23

Family

ID=59679014

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170024037A KR101941766B1 (ko) 2016-02-26 2017-02-23 기판 처리 방법 및 기억 매체

Country Status (4)

Country Link
US (1) US10242878B2 (ko)
JP (1) JP6583054B2 (ko)
KR (1) KR101941766B1 (ko)
TW (1) TWI743086B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11152207B2 (en) * 2018-07-26 2021-10-19 Tokyo Electron Limited Method of forming titanium nitride films with (200) crystallographic texture
JP2020200496A (ja) * 2019-06-07 2020-12-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050054213A1 (en) * 2003-09-05 2005-03-10 Derderian Garo J. Methods of depositing a silicon dioxide comprising layer in the fabrication of integrated circuitry, and methods of forming trench isolation in the fabrication of integrated circuitry
US20070257372A1 (en) * 2004-04-09 2007-11-08 Tokyo Electron Limited Method for Forming Ti Film and Tin Film, Contact Structure, Computer Readable Storing Medium and Computer Program
US20090321936A1 (en) * 2006-07-20 2009-12-31 Tokyo Electron Limited Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, semiconductor device, computer program and storage medium
US20140206189A1 (en) * 2013-01-22 2014-07-24 Tokyo Electron Limited TiN FILM FORMING METHOD AND STORAGE MEDIUM

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09205070A (ja) * 1996-01-25 1997-08-05 Sony Corp プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
JP3214422B2 (ja) * 1997-12-02 2001-10-02 日本電気株式会社 半導体装置の製造装置および半導体装置の製造方法
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
US20020094387A1 (en) * 2000-02-24 2002-07-18 Bhan Mohan Krishnan Method for improving chemical vapor deposition of titanium
JP2004083983A (ja) 2002-08-26 2004-03-18 Applied Materials Inc Ti膜形成方法
JP3574651B2 (ja) 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
JP4823690B2 (ja) * 2003-06-16 2011-11-24 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050054213A1 (en) * 2003-09-05 2005-03-10 Derderian Garo J. Methods of depositing a silicon dioxide comprising layer in the fabrication of integrated circuitry, and methods of forming trench isolation in the fabrication of integrated circuitry
US20070257372A1 (en) * 2004-04-09 2007-11-08 Tokyo Electron Limited Method for Forming Ti Film and Tin Film, Contact Structure, Computer Readable Storing Medium and Computer Program
US20090321936A1 (en) * 2006-07-20 2009-12-31 Tokyo Electron Limited Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, semiconductor device, computer program and storage medium
US20140206189A1 (en) * 2013-01-22 2014-07-24 Tokyo Electron Limited TiN FILM FORMING METHOD AND STORAGE MEDIUM

Also Published As

Publication number Publication date
JP6583054B2 (ja) 2019-10-02
JP2017150059A (ja) 2017-08-31
US20170250086A1 (en) 2017-08-31
KR20170101138A (ko) 2017-09-05
TW201741486A (zh) 2017-12-01
TWI743086B (zh) 2021-10-21
US10242878B2 (en) 2019-03-26

Similar Documents

Publication Publication Date Title
JP5046506B2 (ja) 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
JP5207615B2 (ja) 成膜方法および基板処理装置
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
US9595466B2 (en) Methods for etching via atomic layer deposition (ALD) cycles
JP2017069313A (ja) 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
TW200915402A (en) Method and apparatus for cleaning a substrate surface
US20160322229A1 (en) Methods for selective deposition of metal silicides via atomic layer deposition cycles
JP2009144242A (ja) 低抵抗率タングステン膜の均一性および接着性を高める方法
TW201843332A (zh) 使用反應性退火的間隙填充
TWI661080B (zh) 金屬矽化物的選擇性形成
TW201842539A (zh) 金屬氮化物膜的選擇性蝕刻
TW201608605A (zh) 改質處理方法及半導體裝置之製造方法
TWI796388B (zh) 減少或消除鎢膜中缺陷的方法
WO2017141773A1 (ja) 基板処理方法
KR101941766B1 (ko) 기판 처리 방법 및 기억 매체
WO2018220973A1 (ja) エッチング方法
KR101548129B1 (ko) 증착 챔버 내에서 산화로부터의 도전체 보호
WO2016120957A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
TW201907480A (zh) 形成鈦矽化物區域之方法
CN111554577B (zh) 基板处理方法和成膜***
KR101217393B1 (ko) 성막 방법, 플라즈마 처리 장치 및 기억 매체
WO2024070685A1 (ja) 成膜方法、成膜装置、および成膜システム
TW202305162A (zh) 形成鉬觸點之方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant