TW201843332A - 使用反應性退火的間隙填充 - Google Patents

使用反應性退火的間隙填充 Download PDF

Info

Publication number
TW201843332A
TW201843332A TW107112042A TW107112042A TW201843332A TW 201843332 A TW201843332 A TW 201843332A TW 107112042 A TW107112042 A TW 107112042A TW 107112042 A TW107112042 A TW 107112042A TW 201843332 A TW201843332 A TW 201843332A
Authority
TW
Taiwan
Prior art keywords
film
annealing
feature
plasma
processing method
Prior art date
Application number
TW107112042A
Other languages
English (en)
Other versions
TWI734907B (zh
Inventor
愛柏亥吉巴蘇 馬里克
帕拉米特 曼納
江施施
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201843332A publication Critical patent/TW201843332A/zh
Application granted granted Critical
Publication of TWI734907B publication Critical patent/TWI734907B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

無縫間隙填充的方法包括藉由PECVD形成可流動膜,以反應性退火將可流動膜退火以形成經退火膜及將可流動膜或經退火膜硬化以固化此膜。可使用更高級矽烷與電漿而形成可流動膜。反應性退火可使用甲矽烷或更高級矽烷。UV硬化或其他硬化可用於固化可流動膜或經退火膜。

Description

使用反應性退火的間隙填充
本發明大體上關於沉積薄膜的方法。尤其,本發明關於形成帶有低氫含量的間隙填充膜的處理。
在微電子裝置製造中,對於許多應用需要填充具有大於10:1的深寬比(AR)的窄溝槽而沒有孔洞。一種應用是用於淺溝槽隔離(STI)。對於此應用,膜需要在整個溝槽為高品質的(例如具有溼蝕刻速率比率小於2)而帶有非常低洩漏。隨著結構的尺寸縮小與深寬比增加,沉積的可流動膜的後硬化方法變得困難。造成膜在整個填充的溝槽具有變化的組成。
非晶矽已廣泛地使用在半導體製造處理中做為犧牲層,由於其可提供關於其他膜(例如氧化矽、非晶碳、等等)的良好蝕刻選擇性。隨著半導體製造中降低的臨界尺寸(CD),對於先進晶圓製造的填充高深寬比間隙變得越來越敏感。現行金屬置換閘極處理包含爐管多晶矽或非晶矽虛擬閘極。由於處理的本質,接縫形成在Si虛擬閘極的中間。此接縫會在後處理期間被打開並造成結構破壞。
可沉積可流動膜以填滿溝槽而不形成接縫。沉積的可流動膜具有高氫組成,其影響膜密度與品質。各種硬化方法可降低氫組成並改善膜品質;然而,孔洞發生在經硬化膜中。因此,需要可提供無縫膜成長之在高深寬比結構中的間隙填充的方法。
本發明的一或多個具體例關於處理方法,包含提供其上具有至少一特徵的基板表面。至少一特徵從基板表面延伸一深度至底表面。至少一特徵具有藉由第一側壁與第二側壁所界定的一寬度。可流動膜形成在基板表面及至少一特徵的第一側壁、第二側壁與底表面之上。可流動膜填充特徵而實質上無接縫形成。退火可流動膜以形成經退火膜。硬化經退火膜以固化此膜並形成實質上無縫間隙填充。
本發明的額外具體例關於處理方法,包含提供其上具有至少一特徵的基板表面。至少一特徵從基板表面延伸一深度至底表面。至少一特徵具有藉由第一側壁與第二側壁所界定的一寬度及大於或等於約25:1的深寬比。可流動矽膜藉由PECVD形成在基板表面及至少一特徵的第一側壁、第二側壁與底表面之上。可流動膜填充特徵而實質上無接縫形成。以後處理製程處理可流動膜以形成經退火膜。硬化經退火膜以固化此膜並形成實質上無縫間隙填充。
本發明的進一步具體例關於處理方法,包含提供其上具有至少一特徵的基板表面,至少一特徵從基板表面延伸一深度至底表面。至少一特徵具有藉由第一側壁與第二側壁所界定的一寬度及大於或等於約25:1的深寬比。可流動矽膜藉由PECVD處理形成在基板表面及至少一特徵的第一側壁、第二側壁與底表面之上。可流動膜填充特徵而實質上無接縫形成。PECVD處理包含多晶矽前驅物與包含電漿氣體的電漿。多晶矽前驅物包含二矽烷、三矽烷、四矽烷、新戊矽烷(neopentasilane)或環己矽烷(cyclohexasilane)的一者或多者。電漿氣體包含He、Ar、Kr、H2 、N2 、O2 、O3 或NH3 的一者或多者。電漿具有小於或等於約200 W的功率。PECVD處理發生在小於或等於約100 °C的溫度。可流動膜暴露於後處理製程,後處理製程包含暴露於在退火溫度與退火壓力的退火反應物。退火反應物包含甲矽烷或二矽烷的一者或多者。退火溫度在約100 °C至約400 °C的範圍中。退火壓力在約100 T至約500 T的範圍中。經退火膜暴露於UV硬化以固化此膜並形成實質上無縫間隙填充。
在說明本發明的數個範例具體例之前,將理解到本發明不侷限於在接下來的說明書中闡明的建構或處理步驟的細節。本發明可為其他具體例且以各種方式實施或執行。
在此使用「基板」指稱任何基板或形成在基板上的材料表面,其上在製造處理期間可執行膜處理。例如,其上可執行處理的基板表面包括以下材料,諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、及任何其他材料,諸如金屬、金屬氮化物、金屬合金、及其他導電材料,取決於應用。基板包括而不限制於半導體晶圓。基板可暴露於預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火、UV硬化、電子束硬化及/或烘烤基板表面。除了直接在基板本身表面上的膜處理,在本發明中,任何揭示的膜處理步驟也可如之後更詳細揭示的執行在形成在基板上的下層之上,而用語「基板表面」意於包括當文中所指示的此下層。因此,例如在膜/層或部分膜/層已沉積在基板表面之上處,新沉積的膜/層的暴露表面變成基板表面。
本發明的具體例提供在具有小尺寸的高深寬比(AR)結構中沉積膜(例如,非晶矽)的方法。某些具體例有利地提供包含可在群集工具環境中執行的循環沉積處理製程的方法。某些具體例有利地提供無縫高品質非晶矽膜以填滿具有小尺寸的高AR溝槽。
本發明的一或多個具體例關於沉積能填充具有小於20 nm臨界尺寸(CD)的高深寬比結構(例如,AR>8:1)的可流動非晶矽膜的處理。可以在低溫(例如,<100 °C)的電漿增強化學氣相沉積(PECVD)而使用聚矽烷前驅物沉積此膜。處理的電漿功率可保持低於約200 W或300 W以降低反應動力並獲得無霧度膜。也可藉由控制熱交換器溫度而控制腔室主體溫度。二矽烷、三矽烷、四矽烷、新戊矽烷(neopentasilane)或環己矽烷(cyclohexasilane)是可使用的典型聚矽烷。可執行諸如UV硬化的後沉積處理以穩定此膜。處理的具體例容許藉由添加烴及氮來源於可流動Si處理而製備可流動SiC與SiCN膜。此外,藉由添加適合的金屬前驅物於可流動矽處理,也可沉積可流動金屬矽化物(WSi、TaSi、NiSi)。
圖1顯示帶有特徵110的基板100的部分剖面視圖。圖式顯示具有單一特徵的基板以用於說明;然而,本領域的熟習技藝者將理解到可以有著超過一個的特徵。特徵110的外形可為任何合適外形,包括但不限於溝槽與圓柱通孔。關於使用上,用語「特徵」意指任何有意的表面不規則。特徵的合適實例包括但不限於具有頂部、兩側壁與底部的溝槽,和具有頂部與兩側壁的尖峰。特徵可具有任何合適的深寬比(特徵的深度對特徵的寬度的比例)。在某些具體例中,深寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
基板100具有基板表面120。至少一特徵110形成在基板表面120中的一開口。特徵110從基板表面120延伸深度D至底表面112。特徵110具有第一側壁114與第二側壁116,其界定特徵110的寬度W。藉由側壁與底部所形成的開放區域也可稱為間隙。
本發明的一或多個具體例關於處理方法,其中提供其上具有至少一特徵的基板表面。關於使用上,用語「提供」意指基板放置進入用於進一步處理的位置或環境。
如圖2所示,可流動膜150形成在基板表面120及至少一特徵100的第一側壁114、第二側壁116與底表面112之上。可流動膜150填充至少一特徵110,以便實質上無接縫形成。接縫是形成在特徵中的間隙,位於特徵110的側壁之間但不必然在中間。關於使用上,用語「實質上無接縫」意指形成在側壁之間的膜中的任何間隙是小於約1%的側壁的截面積。
可流動膜150可藉由任何合適處理形成。在某些具體例中,藉由電漿增強化學氣相沉積(PECVD)完成形成可流動膜。換言之,藉由電漿增強化學氣相沉積處理可沉積可流動膜。
某些具體例的PECVD處理包含將基板表面暴露於反應氣體。反應氣體可包括一或多種物種的混合物。例如,反應氣體可包含矽前驅物與電漿氣體。電漿氣體可為任何合適氣體,其可被點燃以形成電漿及/或可做為前驅物的載體或稀釋劑。
在某些具體例中,矽前驅物包含更高級的矽烷,也被稱為多晶矽物種,且被稱為多晶矽前驅物。某些具體例的多晶矽前驅物包含二矽烷、三矽烷、四矽烷、新戊矽烷(neopentasilane)及/或環己矽烷(cyclohexasilane)的一者或多者。在一或多個具體例中,多晶矽前驅物包含四矽烷。在某些具體例中,多晶矽前驅物主要由四矽烷構成。關於使用上,用語「主要由…構成」意指反應氣體的矽物種在莫耳基礎上是由約95%或更多的指定物種所構成。例如,主要由四矽烷構成的多晶矽前驅物意指反應氣體的矽物種是在莫耳基礎上大於或等於約95%的四矽烷。
在某些具體例中,電漿氣體包含He、Ar、H2 、Kr、N2 、O2 、O3 或NH3 的一者或多者。某些具體例的電漿氣體用於做為反應氣體中的反應物種(例如,多晶矽物種)的稀釋劑或載體氣體。
電漿可被產生或點燃在處理腔室內(例如,直接電漿)或可被產生在處理腔室之外並被流入處理腔室(例如,遠端電漿)。電漿功率可被維持在足夠低的功率以防止多晶矽物種至矽烷的還原及/或最小化或防止膜中的霧度形成。在某些具體例中,電漿功率小於或等於約300 W。在一或多個具體例中,電漿功率小於或等於約250 W、200 W、150 W、100 W、50 W或25 W。在某些具體例中,電漿功率在約10 W至約200 W的範圍中、或約25 W至約175 W的範圍中、或約50 W至約150 W的範圍中。
可流動膜150可形成於任何合適溫度。在某些具體例中,可流動膜150形成於溫度在約‑100 ºC至約50 ºC的範圍中、或約-75 ºC至約40 ºC的範圍中、或約-50 ºC至約25 ºC的範圍中、或約-25 ºC至約0 ºC的範圍中。溫度可保持為低的,以維持裝置的熱預算形成。在某些具體例中,形成可流動膜發生在溫度小於約50 ºC、40 ºC、30 ºC、20 ºC、10 ºC、0 ºC、-10 ºC、-20 ºC、-30 ºC、-40 ºC、-50 ºC、-60 ºC、-70 ºC、-80 ºC或-90 ºC。
可流動膜150可形成在任何合適壓力。在某些具體例中,用於形成可流動膜150的壓力在約0.5 T至約50 T的範圍中、或約0.75 T至約25 T的範圍中、或約1 T至約10 T的範圍中、或約2 T至約8 T的範圍中、或約3 T至約6 T的範圍中。
藉由改變反應氣體的組成可調整可流動膜的組成。在某些具體例中,可流動膜包含SiN、SiO、SiC、SiOC、SiON、SiCON的一者或多者。為了形成含氧膜,反應氣體可包含例如氧、臭氧或水的一者或多者。為了形成含氮膜,反應氣體可包含例如氨、聯氨、NO2 或N2 的一者或多者。為了形成含碳膜,反應氣體可包含例如丙烯與乙炔的一者或多者。本領域的熟習技藝者將理解到其他物種的組合可被包括在反應氣體混合物中以改變可流動膜的組成。
在某些具體例中,可流動膜包含金屬矽化物。反應氣體混合物可包括例如包含鎢、鉭或鎳的一者或多者的前驅物。可包括其他金屬前驅物以改變可流動膜的組成。
參照圖3,在可流動膜150形成之後,可流動膜150可被反應性退火製程處理以防止在最終間隙填充膜中的孔洞形成。可流動膜150可在退火情況下暴露於反應氣體以形成經退火膜155。以反應性退火處理可流動膜150也可被稱為後處理。關於使用上,用語「後處理」指稱在可流動膜150的形成之後發生的處理。當使用各種反應物調整可流動膜150的組成時,可流動膜的處理致使可流動膜的組成的改變或可流動膜中的原子的相對百分率的改變。例如,若可流動膜150在原子基礎上是80%的Si、20%的N,處理可造成在原子基礎上是50%的Si、50%的N的膜。在某些具體例中,暴露於反應性退火處理造成相較於退火前的可流動膜150具有較低氫含量的膜。在某些具體例中,氫含量被降低大於或等於約30%、40%、50%、60%、70%、80或90%相對於可流動膜150中的含量。
反應性退火處理包含在合適的退火溫度與退火壓力將基板暴露於退火反應物。某些具體例的退火反應物包含矽化合物。在一或多個具體例中,退火反應物包含甲矽烷、二矽烷、三矽烷或更高級的矽烷(即,具有多於三個矽原子)的一者或多者。在某些具體例中,退火反應物與稀釋劑或載體氣體共流動。例如,退火反應物可包含在氬載體氣體中的矽烷。在某些具體例中,載體氣體也是反應性的且助於硬化可流動膜150而不形成孔洞。在某些具體例中,退火反應物包含如使用在可流動膜150的形成中的前驅物之相同的矽物種。在某些具體例中,退火反應物包含不同於使用在可流動膜150的形成中的反應物之物種。
某些具體例的反應性退火發生而沒有電漿。在某些具體例中,在反應性退火處理期間可產生電漿。可使用退火反應物或不同於退火反應物的後處理電漿物種而形成電漿。例如,退火反應物可與稀釋劑或載體氣體流動,稀釋劑或載體氣體用於點燃電漿(例如,氬)。退火反應物可連續地流動或脈衝地進入處理腔室。
在某些具體例的反應性退火期間的退火溫度在約100 ºC至約500 ºC的範圍中、或約100 ºC至約400 ºC的範圍中、或約125 ºC至約375 ºC的範圍中、或約150 ºC至約350 ºC的範圍中、或約175 ºC至約325 ºC的範圍中、或約200 ºC至約300 ºC的範圍中。在某些具體例中,退火溫度大於或等於約100 ºC、150 ºC、200 ºC、250 ºC、300 ºC、350 ºC、400 ºC、450 ºC或500 ºC。
在反應性退火處理期間的退火壓力可在約100 T至約500 T的範圍中、或約150 T至約450 T的範圍中、200 T至約400 T。在某些具體例中,反應性退火期間的退火壓力大於或等於約50 T、100 T、150 T、200 T、250 T、300 T或350T。
在可流動膜150或經退火膜155的形成之後,膜被硬化以固化可流動膜150或經退火膜155並形成實質上無縫間隙填充。在某些具體例中,藉由將膜暴露於UV硬化處理而硬化可流動膜150或經退火膜155。UV硬化處理可發生在約10 ºC至約550 ºC範圍中的溫度。UV硬化處理可發生持續任何必要的合適時段,以充足地固化可流動膜150或經退火膜155。在某些具體例中,UV硬化發生持續小於或等於約10分鐘、9分鐘、8分鐘、7分鐘、6分鐘、5分鐘、4分鐘、3分鐘、2分鐘或1分鐘。
在某些具體例中,硬化可流動膜150或經退火膜155包含暴露於電漿或電子束。硬化此膜的電漿暴露包含與PECVD電漿分開的電漿或後處理電漿。電漿物種與處理腔室可為相同或不同的及電漿硬化可為不同於PECVD處理的步驟或後處理電漿。在某些具體例中,在同一時間後處理電漿處理與硬化可流動膜150以形成硬化的經退火膜155。
本發明的某些具體例提供帶有低氫含量的硬化間隙填充膜。在某些具體例中,在硬化此膜之後,間隙填充膜具有小於或等於約10原子百分比的氫含量。在某些具體例中,硬化膜具有氫含量小於或等於約5原子百分比、4原子百分比、3原子百分比、2原子百分比或1原子百分比。
根據一或多個具體例,在形成此層之前及/或之後,基板經受處理。此處理可在相同腔室或在一或多個分開處理腔室中執行。在某些具體例中,基板從第一腔室移動至分開的第二腔室以進一步處理。基板可直接從第一腔室移動至分開的處理腔室,或可從第一腔室移動至一或多個移送腔室,接著移動至分開的處理腔室。因此,處理設備可包含以移送腔室連通的多個腔室。此種設備也稱為「群集工具」或「群集系統」,及類似物。
大體上,群集工具是模組系統,包含執行包括基板中心找尋與定位、除氣、退火、沉積及/或蝕刻之各種功能的多個腔室。根據一或多個具體例,群集工具至少包括第一腔室與中央移送腔室。中央移送腔室可容納機器人,機器人可在處理腔室與裝載閘腔室之間及之中傳送基板。移送腔室通常維持在真空情況並提供用於將基板從一腔室傳送至另一腔室及/或定位在群集工具的前端的裝載閘腔室的中間階段。可適用於本發明的兩種廣為人知的群集工具為Centura® 及Endura® ,兩者可從加州聖克拉拉的應用材料公司獲得。然而,為了執行本文所述處理的特定步驟,腔室的確切佈置及組合可變動。可使用的其他處理腔室包括但不限於循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、化學清洗、諸如RTP的熱處理、電漿氮化、除氣、定位、羥化及其他基板處理。藉由在群集工具上的腔室中執行處理,可避免大氣雜質之基板的表面汙染,而沒有在沉積後續膜之前的氧化。
根據一或多個具體例,基板持續在真空或「裝載閘」情況下,且當由一腔室移動至下一腔室時不暴露於周圍空氣。移送腔室因而在真空下且被「抽氣(pump down)」在真空壓力下。惰性氣體可存在於處理腔室或移送腔室中。在某些具體例中,惰性氣體用於做為淨化氣體以移除一些或全部的反應物。根據一或多個具體例,淨化氣體注射在沉積腔室的出口處以避免反應物從沉積腔室移動至移送腔室及/或額外處理腔室。因此,惰性氣體的流動在腔室的出口處形成氣幕。
基板可在單一基板沉積腔室中處理,其中單一基板被載入、處理及載出於另一基板被處理之前。基板也可以連續方式被處理,類似於輸送帶系統,其中多個基板單獨地載入腔室的第一部分,移動通過腔室並從腔室的第二部分載出。腔室與相關輸送帶系統的外形可形成直線路徑或彎曲路徑。此外,處理腔室可為旋轉料架,其中多個基板移動圍繞中心軸並在整個旋轉料架路徑暴露於沉積、蝕刻、退火、清洗、等等的處理。
在處理期間,基板可被加熱或冷卻。此加熱或冷卻可藉由任何合適方式完成,包括但不限於改變基板支撐件的溫度與流動加熱或冷卻的氣體至基板表面。在某些具體例中,基板支撐件包括加熱器/冷卻器,其可受控以傳導地改變基板溫度。在一或多個具體例中,使用的氣體(反應氣體或惰性氣體)被加熱或冷卻以局部地改變基板溫度。在某些具體例中,加熱器/冷卻器定位在腔室內鄰近於基板表面以對流地改變基板溫度。
基板在處理期間也可為固定的或被旋轉。旋轉的基板可連續地旋轉或分段地旋轉。例如,基板可在整個處理中旋轉,或基板可在暴露於不同反應或淨化氣體之間小量地旋轉。在處理期間旋轉基板(連續地或分段地)可助於產生更均勻的沉積或蝕刻,藉由最小化例如氣體流動幾何中局部變動的效應。
在此說明書中參照「一具體例(one embodiment)」、「某些具體例」、「一或多個具體例」或「一具體例(an embodiment)」意指關於此具體例描述的特定特徵、結構、材料或特性被包括在本發明的至少一個具體例中。因此,在本說明書的各處出現的詞語「在一或多個具體例中」、「在某些具體例中」、「在一具體例中(in one embodiment)」或「在一具體例中(in an embodiment)」並不必然指稱本發明的相同具體例。再者,特定的特徵、結構、材料或特性可以任何合適方式結合在一或多個具體例中。
儘管在此已參照特定具體例而說明本發明,將理解到這些具體例僅說明本發明的原理與應用。在不背離本發明的精神與範疇,可對本發明的方法與設備進行各種修改與變化,對於本領域的熟習技藝者是顯而易見的。因此,意於本發明包括在隨附申請專利範圍及其等效物的範疇內的修改與變化。
D‧‧‧深度
W‧‧‧寬度
100‧‧‧基板
110‧‧‧特徵
112‧‧‧底表面
114‧‧‧第一側壁
116‧‧‧第二側壁
120‧‧‧基板表面
150‧‧‧可流動膜
155‧‧‧經退火膜
為了本發明的上述特徵可被詳細地理解,藉由參照具體例,某些具體例繪示在隨附圖式中,可獲得簡短總結於上的本發明的更明確的說明。然而,將注意到隨附圖式僅繪示本發明的典型具體例且因此不被當作限制本發明範疇,由於本發明可容許其他同等有效的具體例。
圖1顯示根據本發明的一或多個具體例的基板特徵的剖面視圖;
圖2顯示其上帶有可流動膜的圖1的基板特徵的剖面視圖;及
圖3顯示其上帶有經退火膜的圖1的基板特徵的剖面視圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種處理方法,包含以下步驟: 提供一基板表面,該基板表面之上具有至少一特徵,該至少一特徵從該基板表面延伸一深度至一底表面,該至少一特徵具有藉由一第一側壁與一第二側壁所界定的一寬度;在該基板表面及該至少一特徵的該第一側壁、該第二側壁與該底表面之上形成一可流動膜,該可流動膜填充該特徵,而實質上無接縫形成;及退火該可流動膜以形成一經退火膜;以及硬化該經退火膜以固化該膜並形成一實質上無縫間隙填充。
  2. 如請求項1所述之處理方法,其中形成該可流動膜之步驟包含電漿增強化學氣相沉積(PECVD)。
  3. 如請求項2所述之處理方法,其中該PECVD包含一多晶矽前驅物與包含一電漿氣體的一電漿。
  4. 如請求項3所述之處理方法,其中該多晶矽前驅物包含二矽烷、三矽烷、四矽烷、新戊矽烷(neopentasilane)或環己矽烷(cyclohexasilane)的一者或多者。
  5. 如請求項3所述之處理方法,其中該電漿氣體包含He、Ar、Kr、H2 、N2 、O2 、O3 或NH3 的一者或多者。
  6. 如請求項5所述之處理方法,其中該電漿具有小於約300 W的一功率。
  7. 如請求項5所述之處理方法,其中該電漿是一直接電漿。
  8. 如請求項1所述之處理方法,其中形成該可流動膜發生在小於約100 °C的一溫度。
  9. 如請求項1所述之處理方法,其中硬化該經退火膜包含一UV硬化。
  10. 如請求項9所述之處理方法,其中該UV硬化發生在約10 °C至約550 °C的範圍中的一溫度。
  11. 如請求項1所述之處理方法,其中硬化該經退火膜包含將該經退火膜暴露於與該PECVD電漿分開的一電漿及/或一電子束。
  12. 如請求項3所述之處理方法,其中該可流動膜包含SiN、SiO、SiC、SiOC、SiON、SiCON的一者或多者。
  13. 如請求項12所述之處理方法,其中該PECVD進一步包含丙烯、乙炔、氨、氧、臭氧或水的一者或多者。
  14. 如請求項1所述之處理方法,其中退火該可流動膜包含在一退火溫度與一退火壓力將該可流動膜暴露於一退火反應物。
  15. 如請求項14所述之處理方法,其中該退火反應物包含甲矽烷或二矽烷的一者或多者。
  16. 如請求項14所述之處理方法,其中該退火溫度在約100 °C至約400 °C的範圍中。
  17. 如請求項14所述之處理方法,其中該退火壓力在約100 T至約500 T的範圍中。
  18. 一種處理方法,包含: 提供一基板表面,該基板表面之上具有至少一特徵,該至少一特徵從該基板表面延伸一深度至一底表面,該至少一特徵具有藉由一第一側壁與一第二側壁所界定的一寬度及大於或等於約25:1的一深寬比;在該基板表面及該至少一特徵的該第一側壁、該第二側壁與該底表面之上藉由PECVD形成一可流動矽膜,該可流動膜填充該特徵,而實質上無接縫形成;以一後處理製程而退火該可流動膜以形成一經退火膜;以及 硬化該經退火膜以固化該膜並形成一實質上無縫間隙填充。
  19. 如請求項18所述之處理方法,其中該PECVD包含一多晶矽前驅物與包含一電漿氣體的一電漿,該多晶矽前驅物包含二矽烷、三矽烷、四矽烷、新戊矽烷(neopentasilane)或環己矽烷(cyclohexasilane)的一者或多者,該電漿氣體包含He、Ar、Kr、H2 、N2 、O2 、O3 或NH3 的一者或多者,及該後處理製程包含暴露於包含甲矽烷或二矽烷的一者或多者的一退火反應物。
  20. 一種處理方法,包含: 提供一基板表面,該基板表面之上具有至少一特徵,該至少一特徵從該基板表面延伸一深度至一底表面,該至少一特徵具有藉由一第一側壁與一第二側壁所界定的一寬度及大於或等於約25:1的一深寬比;在該基板表面及該至少一特徵的該第一側壁、該第二側壁與該底表面之上藉由一PECVD處理形成一可流動矽膜,該可流動膜填充該特徵,而實質上無接縫形成,該PECVD處理包含一多晶矽前驅物與包含一電漿氣體的一電漿,該多晶矽前驅物包含二矽烷、三矽烷、四矽烷、新戊矽烷(neopentasilane)或環己矽烷(cyclohexasilane)的一者或多者,該電漿氣體包含He、Ar、Kr、H2 、N2 、O2 、O3 或NH3 的一者或多者,該電漿具有小於或等於約200 W的一功率,及該PECVD處理發生在小於或等於約100 °C的一溫度;將可流動膜暴露於一後處理製程以形成一經退火膜,該後處理製程包含在一退火溫度與一退火壓力的一退火反應物,該退火反應物包含甲矽烷或二矽烷的一者或多者,該退火溫度在約100 °C至約400 °C的範圍中,及該退火壓力在約100 T至約500 T的範圍中;以及 將經退火膜暴露於一UV硬化以固化該膜並形成一實質上無縫間隙填充。
TW107112042A 2017-04-07 2018-04-09 使用反應性退火的間隙填充 TWI734907B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762482797P 2017-04-07 2017-04-07
US62/482,797 2017-04-07

Publications (2)

Publication Number Publication Date
TW201843332A true TW201843332A (zh) 2018-12-16
TWI734907B TWI734907B (zh) 2021-08-01

Family

ID=63711279

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107112042A TWI734907B (zh) 2017-04-07 2018-04-09 使用反應性退火的間隙填充

Country Status (6)

Country Link
US (1) US11011384B2 (zh)
JP (1) JP7118512B2 (zh)
KR (1) KR102271768B1 (zh)
CN (1) CN110476239B (zh)
TW (1) TWI734907B (zh)
WO (1) WO2018187546A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) * 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
WO2023114870A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation High pressure plasma inhibition
WO2023159012A1 (en) * 2022-02-15 2023-08-24 Lam Research Corporation High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4900591A (en) * 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
EP0584252B1 (en) 1991-05-17 1998-03-04 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
US5344792A (en) 1993-03-04 1994-09-06 Micron Technology, Inc. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2
JPH08222554A (ja) * 1994-12-14 1996-08-30 Sony Corp プラズマを利用した成膜装置およびその方法
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
JPH1131683A (ja) * 1997-07-14 1999-02-02 Sony Corp 半導体装置の製造方法
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6168837B1 (en) 1998-09-04 2001-01-02 Micron Technology, Inc. Chemical vapor depositions process for depositing titanium silicide films from an organometallic compound
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6632478B2 (en) * 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP2002057121A (ja) * 2001-05-21 2002-02-22 Toshiba Corp 半導体装置及びその製造方法
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
JP2005223268A (ja) 2004-02-09 2005-08-18 Seiko Epson Corp 薄膜トランジスタの製造方法、ディスプレイの製造方法及びディスプレイ
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7157327B2 (en) 2004-07-01 2007-01-02 Infineon Technologies Ag Void free, silicon filled trenches in semiconductors
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
CN101589459A (zh) 2007-01-26 2009-11-25 应用材料股份有限公司 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
KR100888186B1 (ko) 2007-08-31 2009-03-10 주식회사 테스 절연막 형성 방법
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7622369B1 (en) * 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
WO2011020028A2 (en) 2009-08-14 2011-02-17 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Silane blend for thin film vapor deposition
US8278224B1 (en) * 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
KR101736246B1 (ko) 2010-09-14 2017-05-17 삼성전자주식회사 비휘발성 메모리 소자 및 이의 제조방법
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130309856A1 (en) 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US20180347035A1 (en) 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) * 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
KR101950349B1 (ko) 2012-12-26 2019-02-20 에스케이하이닉스 주식회사 보이드 프리 폴리실리콘 갭필 방법 및 그를 이용한 반도체장치 제조 방법
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9190263B2 (en) * 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9396986B2 (en) * 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9029272B1 (en) 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma
DE102013020518A1 (de) 2013-12-11 2015-06-11 Forschungszentrum Jülich GmbH Fachbereich Patente Verfahren und Vorrichtung zur Polymerisation einer Zusammensetzung enthaltend Hydridosilane und anschließenden Verwendung der Polymerisate zur Herstellung von siliziumhaltigen Schichten
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9786542B2 (en) * 2014-01-13 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device having isolation structure
CN113025992B (zh) 2014-10-24 2024-02-02 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US9570287B2 (en) 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
US10041167B2 (en) 2015-02-23 2018-08-07 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9735005B1 (en) 2016-03-11 2017-08-15 International Business Machines Corporation Robust high performance low hydrogen silicon carbon nitride (SiCNH) dielectrics for nano electronic devices
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication

Also Published As

Publication number Publication date
JP7118512B2 (ja) 2022-08-16
TWI734907B (zh) 2021-08-01
US20180294166A1 (en) 2018-10-11
KR20190126945A (ko) 2019-11-12
JP2020517100A (ja) 2020-06-11
US11011384B2 (en) 2021-05-18
KR102271768B1 (ko) 2021-06-30
CN110476239A (zh) 2019-11-19
WO2018187546A1 (en) 2018-10-11
CN110476239B (zh) 2023-10-13

Similar Documents

Publication Publication Date Title
TWI734907B (zh) 使用反應性退火的間隙填充
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
TWI680535B (zh) 金屬及含金屬化合物之氧化體積膨脹
JP7203515B2 (ja) 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
JP7118511B2 (ja) シリコン間隙充填のための二段階プロセス
US20180025907A1 (en) Deposition Of Flowable Silicon-Containing Films
TWI744522B (zh) 高深寬比結構中的間隙填充的方法
US10096514B2 (en) Seamless trench fill using deposition/etch techniques
TWI819257B (zh) 具有可調整碳含量之碳氮化矽間隙填充
CN110678972B (zh) 降低字线电阻的方法
TW202244979A (zh) 使用脈衝式高頻射頻(hfrf)電漿之間隙填充製程
KR102650586B1 (ko) 유동성 pecvd를 위한 낮은 증착 레이트들
TWI792005B (zh) 可流動cvd薄膜之表面粗糙度
KR20200036954A (ko) 서브-옥사이드들로부터의 자기-정렬 구조들