KR101707763B1 - 펠리클 및 이것을 포함하는 euv 노광 장치 - Google Patents

펠리클 및 이것을 포함하는 euv 노광 장치 Download PDF

Info

Publication number
KR101707763B1
KR101707763B1 KR1020157033055A KR20157033055A KR101707763B1 KR 101707763 B1 KR101707763 B1 KR 101707763B1 KR 1020157033055 A KR1020157033055 A KR 1020157033055A KR 20157033055 A KR20157033055 A KR 20157033055A KR 101707763 B1 KR101707763 B1 KR 101707763B1
Authority
KR
South Korea
Prior art keywords
film
pellicle
euv
pellicle film
band
Prior art date
Application number
KR1020157033055A
Other languages
English (en)
Other versions
KR20150145256A (ko
Inventor
요스케 오노
가즈오 고무라
Original Assignee
미쯔이가가꾸가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미쯔이가가꾸가부시끼가이샤 filed Critical 미쯔이가가꾸가부시끼가이샤
Publication of KR20150145256A publication Critical patent/KR20150145256A/ko
Application granted granted Critical
Publication of KR101707763B1 publication Critical patent/KR101707763B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Abstract

본 발명은, EUV 투과성이 높고, 열에 의한 대미지를 받기 어려우며, 또한 강도가 높은 펠리클을 제공하는 것을 과제로 한다. 상기 과제를 해결하기 위해서, 파장 550㎚의 광의 굴절률 n이 1.9 내지 5.0인 펠리클막과, 상기 펠리클막이 부착된 펠리클 프레임을 갖는 펠리클을 제공한다. 상기 펠리클막은, 조성 중에 탄소를 30 내지 100몰%, 수소를 0 내지 30몰% 포함한다. 상기 펠리클막의 라만 스펙트럼에 있어서의, 2D 밴드와 G 밴드의 강도비(2D 밴드의 강도/G 밴드의 강도)가 1 이하이거나, 또는 2D 밴드와 G 밴드의 강도가 각각 0이다.

Description

펠리클 및 이것을 포함하는 EUV 노광 장치{PELLICLE AND EUV EXPOSURE DEVICE COMPRISING SAME}
본 발명은, 펠리클 및 이것을 포함하는 EUV 노광 장치에 관한 것이다.
반도체 디바이스의 고집적화 및 미세화는 해마다 가속화되고 있다. 현재는, 엑시머 노광으로 선 폭 45㎚ 정도의 패턴이 형성되고 있다. 그러나 최근 들어, 반도체의 추가적인 미세화에 수반하여, 선 폭 32㎚ 이하의 패턴의 형성이 요구되고 있다. 이러한 미세 가공은, 종래의 엑시머 노광으로는 대응이 어렵다. 그래서, 노광광을 더욱 단파장인 EUV(극단 자외광: Extreme Ultra Violet)로 바꾸는 것이 검토되고 있다.
EUV는, 모든 물질에 흡수되기 쉬운 특성을 갖는다. 따라서, EUV 리소그래피법에서는, 반사 광학계로 노광을 행한다. 구체적으로는, 노광 패턴이 반영된 원판으로 EUV를 반사시켜, 레지스트를 노광한다. 이때, 원판에 이물이 부착되어 있으면, EUV가 이물에 흡수되거나, EUV가 산란되기 때문에, 원하는 패턴으로 노광되지 않는다. 따라서, 원판의 EUV 조사면을 펠리클로 보호하는 것이 검토되고 있다.
한편, EUV 리소그래피에서는, EUV 광원에서 발생하는 비산 입자(파편)가 노광 장치를 오염시키는 것이 알려져 있다. 비산 입자(파편)가 광학계에 부착되거나, EUV 반사면을 깎거나 하면, EUV의 조사 효율이 저하된다. 또한, 비산 입자(파편)가 원판에 부착되면, 상술한 바와 같이, 레지스트가 원하는 패턴으로 노광되지 않는다. 그래서, EUV 조사 장치 내에, 비산 입자(파편)를 포착하기 위한 필터·윈도우를 설치하는 것도 검토되고 있다.
상술한 펠리클막이나 필터·윈도우에는, (1) EUV에 대하여 높은 투과성을 가질 것, (2) EUV 조사에 의해 분해·변형되지 않을 것이 요구된다. 이러한 요구를 충족시키는 펠리클막이나 필터로서, 단결정 실리콘을 포함하는 막(특허문헌 1 및 2), 금속 메쉬 위에 적층된 질화 알루미늄막(특허문헌 3), 그래핀막(특허문헌 4) 등이 제안되어 있다.
일본 특허 공개 제2010-256434호 공보 일본 특허 공개 제2009-116284호 공보 일본 특허 공개 제2005-43895호 공보 국제 공개 제2011/160861호 공보
펠리클막에 EUV가 조사되면, 그 에너지의 일부가 펠리클막에 흡수된다. 그리고, 막에 흡수된 EUV의 에너지는, 다양한 완화 과정을 거쳐서 열로 변환된다. 따라서, EUV 노광시에는, 펠리클막의 온도가 상승한다. 그래서, 펠리클막에는 높은 방열성이나 내열성도 요구된다. 그러나, 상술한 단결정 실리콘막은 방열성이 낮고, 또한 융점도 낮다. 그로 인해, EUV 조사시에 막이 대미지를 받기 쉽다는 문제가 있었다. 또한, 단결정 실리콘막은 제조 공정이 번잡하고, 고가라는 난점도 있었다.
또한, 특허문헌 3의 질화알루미늄막은 EUV 투과율이 낮기 때문에, 높은 EUV 투과율이 요구되는 리소그래피 용도에는 적합하지 않았다. 또한, 특허문헌 4의 그래핀막은, 사이즈가 작은(통상 100 내지 1000㎚ 정도) 결정의 집합체이다. 그로 인해, 막이 취약하고, 막의 내구성이 불충분하였다. 또한, 이러한 그래핀을 다수 적층해도, 충분한 강도가 얻어지기 어려웠다.
본 발명은 이러한 사정을 감안하여 이루어진 것이다. 본 발명은 EUV 투과성 및 내열성이 높고, 또한 내구성도 우수한 막을 갖는 펠리클을 제공하는 것을 목적으로 한다.
즉, 본 발명의 제1은 이하의 펠리클에 관한 것이다.
[1] 파장 550㎚의 광의 굴절률 n이 1.9 내지 5.0인 펠리클막과, 상기 펠리클막이 부착된 펠리클 프레임을 갖는 펠리클이며, 상기 펠리클막은, 조성 중에 탄소를 30 내지 100몰%, 수소를 0 내지 30몰% 포함하고, 상기 펠리클막의 라만 스펙트럼에 있어서의, 2D 밴드와 G 밴드의 강도비(2D 밴드의 강도/G 밴드의 강도)가 1 이하이거나, 또는, 2D 밴드와 G 밴드의 강도가 각각 0인, 펠리클.
[2] 상기 펠리클막이, Si, B, N, O, Y, Zr, Nb 및 Mo로 이루어지는 군에서 선택되는 제3 성분을 0 내지 70몰% 더 포함하고, 또한, 상기 탄소, 상기 수소 및 상기 제3 성분의 합계는 98몰% 이상인, [1]에 기재된 펠리클.
[3] 상기 제3 성분으로서 Si를 40 내지 60몰% 포함하는 [2]에 기재된 펠리클.
[4] 상기 펠리클막은 다결정 탄화규소막을 포함하고, 또한 상기 펠리클막의 밀도가 3.0 내지 5.0g/㎤의 범위에 있는, [2] 또는 [3]에 기재된 펠리클.
[5] 상기 펠리클막은, 다이아몬드 라이크 카본막, 아몰퍼스 카본막, 그래파이트막 및 탄화규소막으로 이루어지는 군에서 선택되는 1종 이상의 막을 포함하는, [1] 내지 [3] 중 어느 한 항에 기재된 펠리클.
[6] 상기 펠리클막의 두께가 10 내지 120㎚인, [1] 내지 [5] 중 어느 한 항에 기재된 펠리클.
[7] 상기 펠리클막이 고분자 필름에 높은 에너지를 부여하여 얻어진 것인, [1] 내지 [6] 중 어느 한 항에 기재된 펠리클.
[8] 상기 고분자 필름이 폴리이미드 필름인, [7]에 기재된 펠리클.
본 발명의 제2는 이하의 EUV 노광 장치 등에 관한 것이다.
[9] EUV 광원과, 광학계와, 원판을 갖는 EUV 노광 장치이며, 상기 원판에, 상기 EUV 광원으로부터의 광이 광학계를 통하여 유도되고, 상기 원판의 광 입사면에, [1] 내지 [8] 중 어느 한 항에 기재된 펠리클이 설치되어 있는, EUV 노광 장치.
[10] 원판과, 상기 원판에 장착된 [1] 내지 [8] 중 어느 한 항에 기재된 펠리클을 포함하는 노광 원판.
[11] EUV 광원으로부터, [10]에 기재된 노광 원판의 상기 펠리클막을 통과시켜, 상기 원판에 EUV를 조사하는 스텝과, 상기 원판이 반사한 EUV를, 상기 펠리클막을 통과시켜서 감응 기판에 조사하여, 감응 기판을 패턴 형상으로 노광하는 스텝을 포함하는 노광 방법.
본 발명의 펠리클에 의하면, EUV 조사시에 이물이 원판에 부착되는 것을 확실하게 억제할 수 있다.
도 1은 본 발명의 펠리클의 일례를 도시하는 개략 단면도이다.
도 2는 그래파이트의 결정 구조를 도시하는 설명도이다.
도 3은 펠리클막의 온도 T와 펠리클막의 방사율 ε의 관계를 나타내는 그래프이다.
도 4는 펠리클막의 온도 T와 펠리클막의 EUV 투과율 Tr과의 관계를 나타내는 그래프이다.
도 5는 본 발명의 EUV 노광 장치의 일례를 도시하는 개략 단면도이다.
도 6은 실시예 1의 펠리클막의 EUV 조사 전후의 XPS 스펙트럼을 나타내는 그래프이다.
도 7은 실시예 2의 펠리클막의 EUV 조사 전후의 라만 스펙트럼을 나타내는 그래프이다.
도 8은 실시예 3의 펠리클막의 EUV 투과율 안정성을 나타내는 그래프이다.
도 9는 실시예 4의 펠리클막의 EUV 조사 전후의 XPS 스펙트럼을 나타내는 그래프이다.
1. 펠리클
본 발명에 있어서, EUV란, 파장 5㎚ 내지 30㎚의 광을 말한다. 즉, EUV 리소그래피의 노광광은 파장 5㎚ 내지 30㎚의 광이며, 보다 바람직하게는 파장 5㎚ 내지 13.5㎚의 광이다.
도 1에 도시되는 바와 같이, 본 발명의 펠리클(이하, 「펠리클」이라고 칭하는 경우가 있음)(10)에는, 펠리클막(12)과, 당해 펠리클막(12)이 부착된 펠리클 프레임(14)이 포함된다. 펠리클막(12)은 막 접착재층(13) 등을 개재하여 펠리클 프레임(14)에 장력을 부여하여 설치된다. 본 발명의 펠리클(10)에서는, 펠리클막(12)이 산화 방지층(도시하지 않음) 등과 적층되어 있어도 된다. 또한, 펠리클(10)에는, 펠리클 프레임(14)과 원판(도시하지 않음)을 접합하기 위한 원판용 접착제층(15)이 포함되어도 된다.
1-1. 펠리클막
상기 펠리클막은, 단일의 막을 포함하는 것이어도 되고, 2층 이상의 막이 적층된 것이어도 된다. 또한, 펠리클막은, 전체면이 지지재에 의해 지지된 막이어도 되지만, 단독으로 막 형상을 보유 지지할 수 있는 막(자립막)인 것이 바람직하다.
펠리클막의 파장 550㎚의 광의 굴절률 n은 1.9 내지 5.0이다. 당해 펠리클막은, 펠리클막의 전체 조성 중에 탄소가 30 내지 100몰%, 수소가 0 내지 30몰%가 포함되면 되고, 또한 제3 성분(Si, B, N, O, Y, Zr, Nb, Mo로 이루어지는 군에서 선택되는 원소)이 0 내지 70몰% 포함되어도 되며, 펠리클막의 조성 중의 탄소, 수소 및 제3 성분의 합계는, 98% 이상인 것이 바람직하다. 즉, 조성 중에, 탄소, 수소 및 제3 성분 이외의 성분이 미량 포함되어도 되지만, 탄소, 수소 및 제3 성분의 합계는 100%인 것이 바람직하다. 상기 굴절률은, 엘립소미터로 측정된다. 또한, 펠리클막의 조성은, 러더포드 후방 산란 분광법(Rutherford Backscattering Spectrometry: RBS), X선 광전자 분광법(XPS)으로 측정된다.
또한, 당해 펠리클막의 라만 스펙트럼에 있어서의 G 밴드(I(G))의 강도와, 2D 밴드(I(2D))의 강도와의 비(I(2D)/I(G))는 1 이하이거나, 또는 2D 밴드와 G 밴드의 강도가 각각 0이다. 실리콘 카바이드막의 라만 스펙트럼에서는, 2D 밴드와 G 밴드의 강도를 측정할 수 없는 경우가 있다. 즉, 2D 밴드 및 G 밴드의 강도 모두 0인 경우가 있다. 그리고, 2D 밴드(I(2D))의 강도와의 비(I(2D)/I(G))가 1 이하라는 것은, 펠리클막에는, 그래핀막이 포함되지 않음을 의미한다. 상술한 바와 같이, 그래핀막은 취약해서, 적층해도 막의 강도가 높아지기 어렵다.
여기서, 라만 스펙트럼은, 라만 현미경을 사용하여 관찰하는 것이 바람직하다. 라만 현미경에 의한 관찰은, 이하와 같이 행해진다. 레이저광을 빔 스플리터에 입사시키고, 당해 빔 스플리터에서 반사된 레이저광을 광학 현미경용 대물 렌즈로 1㎛ 정도의 빔 직경으로 조인다. 그리고, 빔 직경이 조정된 레이저광을 샘플에 대하여 수직 방향으로 조사한다. 샘플로의 레이저광 조사에 의해 발생한 라만 산란광을, 상술한 대물 렌즈에 의해 집광하여, 빔 스플리터나 애퍼쳐를 통하여 분광기에 입사시킨다. 라만 스펙트럼의 측정 위치는 특별히 제한되지 않지만, 막의 평활성이 높고, 또한 막 두께나 레이저 조사측의 표면 상태가 균일한 부분을 측정하는 것이 바람직하다. 라만 현미경에서는 일반적으로 X-Y 전동 스테이지가 사용되기 때문에, 맵핑 측정이나 다점 측정이 가능하다. 따라서, 펠리클막의 탄소 구조에 분포가 있는 경우에는 다점 측정을 행해도 되고, 분포가 없는 경우에는 한점만 측정해도 된다. 라만 분광법에 사용되는 레이저광의 파장은 특별히 제한되지 않는다. 일반적인 레이저광의 파장으로서, 1064㎚, 633㎚, 532㎚, 515㎚, 502㎚, 496㎚, 488㎚, 477㎚, 473㎚, 466㎚, 458㎚, 364㎚ 또는 351㎚를 들 수 있다.
단층의 그래핀은 일반적으로 사이즈가 작은(통상 100 내지 1000㎚ 정도) 결정의 집합체로 구성되어 있고, 1590㎝-1 부근과, 2800 내지 2600㎝-1에서 라만 스펙트럼이 관찰된다. 1590㎝-1 부근의 스펙트럼은, G 밴드라고 불리고, sp2 혼성 궤도에서 공통으로 관찰되는 스펙트럼이다. 한편, 2800 내지 2600㎝-1에서 관찰되는 스펙트럼은, 2D 밴드라고 불린다. 그리고, 단층 그래핀에서는 2D 밴드의 강도 I(2D)와 G 밴드의 강도 I(G)와의 비 I(2D)/I(G)>1이고, 이러한 막은 막의 강도가 얻어지지 않아 내구성이 불충분하기 때문에 펠리클막으로서 사용할 수 없다. 또한, 이러한 그래핀을 다수 적층해도, 후술하는 그래파이트막과 같은 c축 방향의 규칙성을 갖지 않는다. 따라서, 적층 그래핀에서는 충분한 막 강도가 얻어지지 않아, 펠리클막으로서 사용할 수 없다.
한편, 충분히 막이 두껍고 강도를 갖는 다이아몬드 라이크 카본막, 아몰퍼스 카본막, 그래파이트막 및 탄화규소막 등의 재료에서는 2D 밴드 강도에 비하여 상대적으로 G 밴드의 강도가 커지는 점에서, I(2D)/I(G)<1이 되고, 이들 막은 펠리클막으로서 사용할 수 있다.
상기 굴절률 및 조성, 또한 라만 스펙트럼의 강도비를 만족하는 막의 예에는, 다이아몬드 라이크 카본막(이하 「DLC막」이라고도 칭함), 아몰퍼스 카본막, 그래파이트막 등이 포함된다.
1-1-1. 다이아몬드 라이크 카본막
다이아몬드 라이크 카본은, 다이아몬드와 그래파이트의 중간적인 결정 구조를 갖고; sp3 결합과 sp2 결합이 혼재하는 아몰퍼스 구조를 갖는다. 즉, DLC막에는, 명확한 결정립계가 없기 때문에, 강인한 막이 되기 쉽다. DLC막은, (i) 구조 중에 수소가 포함되지 않는 막(ta-C(Tetrahedral amorphous carbon))이어도 되고, (ii) 구조 중에 수소가 포함되는 막(a-C:H(Hydrogenated amorphous carbon))이어도 되며, (iii) 상술한 제3 성분이 도핑된 막이어도 된다.
(i) 구조 중에 수소가 포함되지 않는 DLC(ta-C)막은, 탄소만을 포함하고, 파장 550㎚의 광의 굴절률이 2.4 내지 2.6인 막일 수 있다.
DLC(ta-C)막은, 공지된 성막법으로 성막된 막일 수 있다. DLC막의 제작 방법의 예에는, 열 CVD법, 플라즈마 CVD법, 플라즈마 이온 주입 성막법(PBIID) 등의 CVD법; 스퍼터링법, 이온 플레이팅법, FCVA(Filtered Cathodic Vacuum Arc)법 등의 PVD법 등이 포함된다.
(ii) 수소가 포함되는 DLC(a-C:H)막의 조성 중에는, 탄소가 70 내지 90몰%, 수소가 10 내지 30몰% 포함된다. 당해 조성에 있어서의, 탄소 및 수소의 합계는 98몰% 이상이다. 또한, DLC(a-C:H)막에는, 통상, 제3 성분이 포함되지 않는다. DLC(a-C:H)막의 파장 550㎚의 광의 굴절률은 통상 1.9 내지 2.5이다.
DLC(a-C:H)막의 조성이나 굴절률은, 수소의 함유량에 따라 조정되고; 당해 수소의 함유량에 따라, 막의 경도나 내구성 등이 변화한다. 수소 함유량이 적은 DLC(a-C:H)막은 강도가 높고, 또한 EUV에 대하여 안정된 막이 된다. 한편, 수소 함유량이 많은 DLC(a-C:H)막은 유연성을 갖고, 크랙이 발생하기 어려운 막이 된다. 다이아몬드 라이크 카본막 중에 포함되는 수소의 양은, 상술한 바와 같이, 러더포드 후방 산란 분광법(RBS)과 수소 전방 산란 분석(HFS)이나, 푸리에 변환형 적외 분광 분석(FT-IR)법으로 구해진다.
DLC(a-C:H)막은, 공지된 성막법으로 성막된 막일 수 있다. DLC(a-C:H)막의 제작 방법의 예로는, 열 CVD법, 플라즈마 CVD법, 플라즈마 이온 주입 성막법(PBIID) 등의 CVD법; 스퍼터링법, 이온 플레이팅법, FCVA(Filtered Cathodic Vacuum Arc)법 등의 PVD법 등이 포함된다.
(iii) 제3 성분(Si, B, N, O, Y, Zr, Nb, 및 Mo로부터 선택되는 1종 또는 2종 이상의 원소)이 도핑된 DLC막(이하, 「제3 성분 도프 DLC막」이라고도 칭함)의 조성 중에는, 제3 성분이 0.1 내지 70몰% 포함되는 것이 바람직하다.
DLC막에 제3 성분이 도핑되면, DLC막의 내열성이 높아지거나, 막과 지지재와의 밀착성이 높아지거나, DLC막의 제작 시에, 막에 가해지는 응력이 완화된다. 특히, 제3 성분이 Y, Zr, Nb 또는 Mo이면, 펠리클막의 13.5㎚의 EUV에 대한 투과성이 높아지기 쉽다.
Si가 도핑된 DLC막은, CVD법의 제막실에 유기 실리콘계 가스와 탄화수소 가스를 도입하여 성막된다. 유기 실리콘계 가스의 예에는, 트리메틸실란 가스(TMS) 등이 포함된다. 도핑되는 실리콘의 양은, 유기 실리콘계 가스와 탄화수소 가스와의 유량비 등으로 제어된다.
B가 도핑된 DLC막은, CVD법의 제막실에 유기 붕소계 가스와 탄화수소 가스를 도입하여 성막된다. 유기 붕소계 가스의 예에는, 트리메틸 붕소 가스 등이 포함된다. 도핑되는 붕소의 양은, 유기 붕소계 가스와 탄화수소 가스와의 유량비 등으로 제어된다.
N 및 O가 도핑된 DLC막은, CVD법의 제막실에 질소 가스, 산소 가스 및 탄화수소 가스를 도입하여 성막된다. 도핑되는 N 및 O의 양은, 질소 가스 및 산소 가스와, 탄화수소 가스와의 유량비 등으로 제어된다.
Y, Zr, Nb 또는 Mo가 도핑된 DLC막은, 흑연 및 당해 금속을 타깃 재료로 하는, 스퍼터링법, 이온 플레이팅법, FCVA(Filtered Cathodic Vacuum Arc)법 등의 PVD법으로 성막된다.
1-1-2. 아몰퍼스 카본막
아몰퍼스 카본막은, 탄소만을 포함하고, 또한 파장 550㎚의 광의 굴절률이 1.9 내지 2.1인 막일 수 있다. 아몰퍼스 카본막은, 주로 sp2 결합으로 구성되는 아몰퍼스 구조를 포함한다.
아몰퍼스 카본막은 진공 증착법으로 성막된 막일 수 있다. 구체적으로는, 10-2 내지 10-4㎩ 정도의 압력 하, 증발원으로부터 기화된 재료(탄소)를 지지재에 퇴적시킨 막일 수 있다. 일반적으로 진공 증착법에서는, 증발 입자의 에너지가 0.1 내지 1eV로 비교적 작기 때문에, 막이 다공성이 되기 쉽다. 따라서, 진공 증착법으로 성막된 아몰퍼스 카본막은 밀도가 낮아, 두께가 두꺼워도 EUV 투과성이 높다.
1-1-3. 그래파이트막
그래파이트막은, 탄소만을 포함하고, 또한 파장 550㎚인 광의 굴절률이 2.0 내지 3.0인 막일 수 있다.
도 2는 그래파이트의 결정 구조의 모식도이다. 도 2에 도시되는 바와 같이, 그래파이트의 결정은, sp2 탄소 원자의 육원환의 연결체가, c축 방향으로 다수 적층된 구조를 갖는다. 본 발명에서는, 육원환의 연결체가 c축 방향으로 규칙적으로 배열된 화합물만을 그래파이트로 하고; 그래핀의 적층물 등, 연결체가 c축 방향으로 규칙적으로 배열되지 않는 것은, 그래파이트에 포함하지 않는다. 그래파이트의 일부에는 N, Si, sp3 탄소 원자 등이 포함되어도 된다.
그래파이트막은, 단결정 구조의 막이어도 되고, 다결정 구조의 막이어도 된다. 단결정 구조의 그래파이트막은, 막 강도가 높고, 열전도성이 높은 점에서 바람직하다. 한편, 다결정 구조의 그래파이트막은, 제조하기 쉽고, 비용면에서 바람직하다.
또한, 그래파이트막의 모자이크 스프레드는, 5.0 이하인 것이 바람직하고, 보다 바람직하게는 0.1 이상 3.0 이하이고, 더욱 바람직하게는 0.1 이상 1.0 이하이다. 모자이크 스프레드가 5.0 이하이면, 막의 강도 및 방열성이 우수하다. 모자이크 스프레드는, 그래파이트막 내의 결정자의 c축 방향의 배향성을 나타내는 지표이다. 모자이크 스프레드의 값이 작을수록, c축 방향의 배향성이 높은 것을 나타낸다. 모자이크 스프레드의 값이 크면; 즉 그래파이트막 내의 결정자의 c축 방향의 배향성이 낮으면, 육원환의 연결체가 c축 방향으로 규칙적으로 배열되기 어려워져, 그래파이트막이 취약해지기 쉽다.
예를 들어, 모자이크 스프레드가 0.3°라는 것은, 육원환의 연결체(플레이트면)에 수직인 방향으로부터의 c축의 어긋남이 거의 ±0.6° 이내인 것을 나타낸다(카본 용어 사전, 탄소 재료 학회 카본 용어 사전 편집 위원회, 야스다 케이이치, 고바야시 가즈오 편, 아그네쇼후사, 2000). 모자이크 스프레드는, 그래파이트막 제작시의 소성 과정의 온도나 압력으로 조정된다.
모자이크 스프레드는, X선 회절 장치로 이하의 수순으로 측정된다. 플레이트 형상의 그래파이트막의 (002)면의 X선 회절선이 피크를 나타내는 위치에 X선 회절 장치의 카운터(2θ축)를 고정한다. 그리고, 시료(θ축)만을 회전시켜서, 강도 함수((002)면 회절선 피크 강도의 시료 방위각 의존 곡선)를 측정한다. 얻어진 강도 함수로부터 피크 강도의 반감 값을 구하고, 이것을 모자이크 스프레드로 한다.
상술한 그래파이트막은, 공지된 방법으로 성막된 막일 수 있다. 그래파이트막의 성막 방법의 예로는, 폴리옥사디아졸, 방향족 폴리이미드, 방향족 폴리아미드, 폴리벤조이미다졸, 폴리벤조비스티아졸, 폴리벤조옥사졸, 폴리티아졸, 폴리아크릴로니트릴 또는 폴리파라페닐렌비닐렌 등의 고분자 필름에 높은 에너지를 부여하고, 이들을 그래파이트로 전화하는 방법이 있다. 높은 에너지를 부여하는 방법은, 고열에서 소성하는 방법, 또는 방사선을 조사하는 방법인 것이 바람직하다. 조사하는 방사선은, X선, γ선, 전자선, 중성자선, 이온빔(중하전 입자선) 등일 수 있다. 방사선을 고분자 필름에 방사하면, 방사선이 필름을 구성하는 물질과 상호 작용하고, 필름 내의 원자에 에너지가 부여된다. 이 에너지에 의해 원자가 여기되거나, 이온화되거나, 2차 전자가 방출되거나, 여러 가지 화학 반응이 발생한다. 그 결과, 고분자 필름이 탄화되어, 그래파이트막이 얻어진다. 또한, 그래파이트막의 제작 방법의 예로는, 탄소 고체를 원료로 하는 아크 방전법이나, 탄화수소계 가스를 원료로 하는 플라즈마 CVD(화학 기상 성장: Chemical vapor deposition)법, 메탄 가스를 원료로 하는 진공 중의 플라즈마 제트법 등도 있다.
그래파이트막은, 상술한 방법으로 성막 후, 압력을 더 가하면서 고온에서 장시간 재어닐링한 고배향 열분해 그래파이트(Highly oriented pyrolytic graphite: HOPG)인 것이 바람직하다. 장시간 재어닐링하여 얻어지는 고배향 열분해 그래파이트는, 모자이크 스프레드가 매우 작아진다.
여기서, 그래파이트막을 펠리클막으로 할 경우, 상술한 방법에서 얻어지는 그래파이트막의 박층화가 필요한 경우가 있다. 그래파이트막을 박층화하는 방법으로서는, 그래파이트막에 물리적인 힘을 가하여 벽개하는 방법이 있다.
1-1-4. 탄화규소막
탄화규소막은, 탄소 및 규소가 포함되는 막이고, 아몰퍼스막이어도 되고, 결정성의 막이어도 된다.
아몰퍼스의 탄화규소막은, 구조 중에 Si-C, C-H, Si-H 등의 이종 원자 간에서의 결합뿐만 아니라, C-C나 Si-Si 등의 동종 원자 간의 결합이 포함되는 막일 수 있다. 당해 아몰퍼스의 탄화규소막의 조성 중에는, 탄소가 30 내지 99몰%, 수소가 0 내지 30몰%, 규소가 1 내지 70몰% 포함되고; 수소가 포함되지 않아도 된다. 더 바람직한 탄화규소막의 조성은, 탄소가 40 내지 60몰%, 수소가 0 내지 30몰%, 규소가 40 내지 60몰%이다. 제3 성분으로서, B, N, O, Y, Zr, Nb 및 Mo 등의 원자를 포함하고 있어도 된다. 제3 성분의 조성은, Si를 포함하여 1 내지 70몰%인 것이 바람직하고, 보다 바람직하게는 40 내지 60몰%이다. 또한, 당해 조성에 있어서의 탄소, 수소 및 상기 제3 성분의 합계는 98몰% 이상이다.
EUV에 대한 투과성이 높아지고, 또한 EUV에 대한 안정성과 내열성이 높아진다는 관점에서, 아몰퍼스의 탄화규소막의 조성 중에, 탄소가 80 내지 85몰%, 규소가 15 내지 20몰% 포함되는(탄소 및 규소의 합계는 100몰%임) 것이 특히 바람직하다.
아몰퍼스의 탄화규소막은, 이온 플레이팅법으로 성막된 막일 수 있다. 이온 플레이팅법에 의한 성막시의 전압, 피성막체의 가열 온도, 가스압 등에 의해, 얻어지는 막과 지지재와의 밀착성 등이 조정된다.
한편, 결정성의 탄화규소막은, Si-C의 이종 원자 간 결합으로 구성되는 결정 구조를 포함하는 막이다. 당해 탄화규소막은, 단결정 구조여도 되고, 다결정 구조여도 된다. 결정성의 탄화규소막의 조성 중에는, 탄소가 30 내지 99몰%, 수소가 0 내지 30몰%, 규소가 1 내지 70몰% 포함되고; 수소가 포함되지 않아도 된다. 더 바람직한 탄화규소막의 조성은, 탄소가 40 내지 60몰%, 수소가 0 내지 30몰%, 규소가 40 내지 60몰%이다. 제3 성분으로서, B, N, O, Y, Zr, Nb 및 Mo 등의 원자를 포함하고 있어도 된다. 제3 성분의 조성은, Si를 포함하여 1 내지 70몰%인 것이 바람직하고, 보다 바람직하게는 40 내지 60몰%이다. 또한, 당해 조성에 있어서의, 탄소, 수소 및 상기 제3 성분의 합계는 98몰% 이상이다.
다결정 탄화규소막을 포함하는 펠리클막의 밀도는 3.0 내지 5.0g/㎤의 범위에 있는 것이 바람직하다. 다결정 탄화규소막 자체의 밀도는 약 3.3g/㎤이지만, 펠리클막이 다결정 탄화규소막과 다른층과의 적층체일 경우에는, 상술한 범위에 있는 것이 바람직하다.
결정성의 탄화규소막은 공지의 방법으로 성막된 막일 수 있다. 결정성의 탄화규소막의 성막 방법의 예로는, 대기압 플라즈마 CVD법, 감압 CVD(LPCVD)법이나 AC 플라즈마 어시스트 CVD법 등이 포함된다. CVD법의 제막실에 유기 실리콘계 가스와 탄화수소 가스를 도입하여 성막된다. 유기 실리콘계 가스의 예로는, 모노실란 가스, 디클로로실란 가스 등이 포함된다. 기판 온도, 가스 유량, 압력, 플라즈마 파워 등의 조건을 바꿈으로써, 결정성이나 막 두께 등을 제어할 수 있다. 예를 들어, 기판 온도를 높임으로써 결정성을 높일 수 있고, 감압에 의해 가스압을 낮게 함으로써 원자, 분자의 평균 자유 행정을 내려서 성막시의 피복성이나 막 두께의 균일성을 높일 수 있다.
1-1-5. 펠리클막의 지지재
상술한 바와 같이, 펠리클막은 지지재를 갖고 있어도 된다. 펠리클막을 지지하는 지지재는, 펠리클막의 원판측에 배치되어도 되고, EUV 입사면측에 배치되어도 된다. 또한, 펠리클막이, 메쉬 형상의 지지재의 간극에 매립되어도 된다. 지지재의 예에는, 실리콘, 금속 등을 포함하는 메쉬 형상의 기판, 금속 와이어 등이 포함된다.
펠리클 프레임의 내측 영역의 면적에 대한, 지지재의 면적은 20% 이하인 것이 바람직하고, 보다 바람직하게는 15% 이하, 더욱 바람직하게는 10% 이하이다. 지지재의 면적이 20%를 초과하면, EUV 투과율이 낮아져, EUV의 조사 효율이 저하된다.
지지재의 형상은 특별히 상관없다. 하니컴 형상, 사각 형상, 삼각 형상이나, 다각형을 조합한 형상이어도 된다. 노광시에 그림자가 생기지 않도록, 지지재의 반복 단위의 사이즈가 가능한 한 작은 것이 바람직하다. 또한, 개구율을 높이기 위해서, 지지재의 폭은 기계 강도가 유지되는 범위에서 작게 하는 것이 바람직하다. 지지재의 다각형의 반복 단위 사이즈는 10 내지 500㎛, 지지재의 폭은 0.1 내지 50㎛인 것이 바람직하고, 보다 바람직하게는 지지재의 다각형의 반복 단위의 사이즈는 10 내지 200㎛, 지지재의 폭은 0.1 내지 20㎛이며, 더욱 바람직하게는, 지지재의 다각형의 반복 단위의 사이즈는 10 내지 50㎛, 지지재의 폭은 0.1 내지 1㎛이다.
지지재의 두께는, 기계 강도가 유지되는 범위에서 작게 하는 것이 바람직하다. EUV 광은, 약 6°의 경사각으로 EUV 펠리클막에 조사되고, EUV 펠리클막을 투과한다. 투과한 광은, EUV 마스크에서 조사되고, 약 6°의 경사각으로 다시 EUV 펠리클을 반사한다. 따라서, EUV 광이 지지재에 차단되는 면적을 작게 하기 위해서, 지지재의 두께는 얇은 것이 바람직하다. 지지재의 두께는 0.1 내지 500㎛인 것이 바람직하고, 보다 바람직하게는 0.1 내지 200㎛이며, 더욱 바람직하게는 0.1 내지 20㎛이다.
펠리클막의 지지재의 제작 방법은 특별히 상관없다. 금속 와이어를 서로 엮어서 메쉬 지지재를 제작하는 방법이나, 기판을 에칭에 의해 깎아서 지지재를 제작하는 방법, 또는 지지재의 주형을 리소그래피나 임프린트로 제작하고, 주형에 도금 등의 방법으로 금속 등을 매립함으로써 지지재를 제작하는 방법이 있다.
기판을 에칭에 의해 깎아서 지지재를 제작하는 예로서, 알루미늄이나 스테인리스강 등의 금속제의 기판을 에칭하는 경우가 있다. 에칭은, 기계적으로 기판을 깎아도 되고, 레이저 등을 조사하여 기판을 깎아도 된다. 실리콘 기판을 에칭하여 지지재를 제작하는 경우에는, 포토레지스트에 지지재의 형상을 패터닝한 후에, 건식 에칭이나 습식 에칭 등의 이방성 에칭을 해도 된다. 패터닝에 사용하는 광원은, 지지재의 다각형의 반복 단위의 사이즈나 폭에 맞춰서 임의로 선정할 수 있다. 예를 들어, D선, I선 등의 가시광이나, KrF, ArF 엑시머 레이저 등의 자외선이나, X선이나 전자선 등을 사용해도 된다.
펠리클막을 얹은 상태에서 지지재를 제작해도 되고, 제작된 지지재를 막과 접착시켜도 된다.
1-1-6. 자립막인 펠리클막의 제조 방법
지지재를 갖지 않는 펠리클막(자립막)을 제작하는 방법은 특별히 상관없지만, 이하에 제조예를 나타낸다.
1-1-6-1. 기판 위에 희생층을 적층하고 나중에 제거하는 방법
기판 위에 희생층을 적층하고, 그 위에 펠리클막을 제막하고, 나중에 희생층을 제거함으로써 자립막을 얻을 수 있다. 희생층은, 금속, 산화막, 수지, 염 등, 특정한 처리 방법으로 제거할 수 있는 것으로 할 수 있다. 예를 들어, 희생층은, 산성 용액에 녹는 알루미늄 등의 금속일 수 있다. 구체적으로는, 증착이나 스퍼터 등으로 유리 기판이나 실리콘 웨이퍼의 표면에 금속층을 적층하고, 금속층 위에 펠리클막을 더 적층한 후에, 산성 용액 등 금속층을 녹일 수 있는 용액에 침지함으로써, 기판으로부터 펠리클막을 박리할 수 있다.
기판으로서 자연 산화막이나 산화 규소층을 갖는 실리콘 웨이퍼를 사용한 경우에는, 실리콘 웨이퍼 위에 펠리클막을 코팅한 후에, 불산 수용액에 침지함으로써 자연 산화막이나 산화 규소층을 제거하고, 기판으로부터 펠리클막을 박리할 수도 있다.
기판에 적층하는 희생층을, 부분 비누화 폴리비닐알코올 수지나 염화나트륨 등의 염과 같은 수용성 재료로 해도 된다. 희생층 위에 펠리클막을 적층한 후에, 적층체를 물에 침지함으로써, 기판으로부터 펠리클막을 박리할 수 있다.
기판 위에 적층한 희생층을 제거하는 방법을 선정하는 데 있어서, 펠리클막의 프로세스 내성, 막 강도, 희생층의 제거 속도, 희생층의 막 두께 균일성이나 표면 거칠기 등의 특징에 따라, 가장 적절한 임의의 방법을 선정할 수 있다.
1-1-6-2. 기판을 에칭 또는 용해시키는 방법
기판의 재질을, 금속, 산화막, 수지, 염 등, 특정한 처리 방법으로 제거할 수 있는 것으로 한 경우에는, 기판 위에 펠리클막을 적층한 후, 기판을 에칭 또는 용해시킴으로써, 펠리클막을 얻을 수 있다.
예를 들어, 기판으로서 구리박을 사용한 경우, 구리박 표면에 펠리클막을 적층한 후에, 염화 제2 구리 에칭액에 침지함으로써, 구리박 기판을 에칭하고, 펠리클막을 기판으로부터 박리할 수 있다.
기판을 유리 기판으로 했을 경우, 유리 기판에 펠리클막을 적층한 후에, 불화수소산을 사용하여 유리를 에칭하고, 유리 기판으로부터 펠리클막을 박리할 수 있다.
기판을 실리콘 웨이퍼로 한 경우, 실리콘 웨이퍼에 펠리클막을 적층한 후에, 습식 에칭 또는 건식 에칭에 의해, 실리콘 웨이퍼를 에칭하고, 실리콘 웨이퍼로부터 펠리클막을 박리할 수 있다. 습식 에칭은, KOH나 TMAH, 히드라진 등의 에칭액을 사용할 수 있다. 건식 에칭은, 불소계(SF6, CF4, NF3, PF5, BF3, CHF3), 염소계(Cl2, SiCl4), 브롬계(IBr) 등의 에칭 가스를 사용할 수 있다. 습식 에칭 속도는 온도에 따라 변화하기 때문에, 실리콘 웨이퍼 위의 얇은 펠리클막에 손상을 끼치지 않도록 에칭하기 위해서는, 액온을 내려 에칭레이트를 내리는 것이 바람직하다.
실리콘 웨이퍼를 건식 에칭하는 경우에는, 실리콘 기판 표면에 사전에 에칭 스톱층 등의 층을 형성해도 된다. 에칭 스톱층으로는, SiO2나 SiN 등을 들 수 있다. 에칭 스톱층은 펠리클막에 인장 응력을 부여하는 막인 것이 바람직하다. 기판 및 박막의 표면에 대하여 평행 방향으로 작용하는 잔류 응력에는 인장 응력과 압축 응력이 있다. 박막 내부에 박막을 확장하려는 힘은 인장 응력이 되고, 박막 내부에 박막을 수축시키려는 힘은 압축 응력이 된다. 이들 응력은 주로 박막의 제막 과정에 있어서 발생한다. 잔류 응력을 부여하는 요인의 하나로서, 기판과 박막과의 열팽창률의 차이가 있다. 실온으로 되돌릴 때 기판도 박막도 수축되지만, 그 비율은 열팽창률에 따라 상이하고, 박막의 열팽창률이 기판의 열팽창률보다 크면 인장 응력, 반대일 때는 압축 응력이 된다. 인장 응력이 박막에 부여되면, 박막에 장력이 가해져, 주름이 없는 막이 생긴다. 한편 압축 응력이 박막에 부여되면, 막에 휨이나 주름이 발생하기 쉬워진다. SiN막은 인장 응력을 부여하는 막이기 때문에, 당해 SiN층이 에칭 스톱층이면, 실리콘 웨이퍼를 건식 에칭하여 얻어지는 펠리클막을, 주름이 없는 막으로 할 수 있다. 에칭 스톱층은, 실리콘 웨이퍼의 건식 에칭이 끝난 후에 제거되기 때문에, 목적으로 하는 펠리클막만을 포함하는 자립막이 얻어진다.
기판을 염화나트륨 등의 염을 포함하는 기판으로 한 경우, 기판 표면에 펠리클막을 적층한 후에, 물에 침지하여 기판을 에칭하여, 기판으로부터 펠리클막을 박리할 수 있다. 기판을 플라스틱 기판으로 한 경우, 플라스틱 기판 표면에 펠리클막을 적층한 후에, 플라스틱 기판을 가용의 용매에 침지함으로써 플라스틱 기판을 에칭하여, 플라스틱 기판으로부터 펠리클막을 박리할 수 있다.
1-1-6-3. 기판의 표면 위를 박리하기 쉽도록 전처리를 실시하는 방법
기판에 표면 처리를 실시함으로써, 펠리클막과 기판면과의 상호 작용을 제어하고, 용매로의 침지나 기계적인 박리 프로세스에 의해, 기판으로부터 펠리클막을 용이하게 박리할 수 있다. 펠리클막과 기판면과의 상호 작용을 제어하는 방법으로서, 예를 들어 실란 커플링제에 의한 표면 처리 방법을 들 수 있다. 그 이외에는, 물이나 유기 용매, 피라니아 물, 황산, UV 오존 처리 등에 의해 기판 표면을 세정하는 방법을 들 수 있다. 기판을 실리콘 웨이퍼로 하는 경우에는, 과산화수소수와 수산화 암모늄의 혼합액이나, 염산과 과산화수소수의 혼합액 등, RCA 세정법에서 사용되는 용액 등을 사용할 수 있다.
희생층의 제막, 기판 위의 표면 처리는, 기판을 에칭 또는 용해시키는 방법을 각각 조합하여 사용해도 된다. 희생층이나 표면 처리에 사용되는 물질은, 펠리클막의 표면이나 내부에 남기 어렵고, 또한 남아도 용이한 방법으로 제거할 수 있는 것이 바람직하다. 예를 들어, 가스에 의한 에칭, 열에 의한 증발, 용매에 의한 세정, 광에 의한 분해 제거 등이 있고, 그것들을 조합하여 제거를 실시해도 된다.
1-1-7. 펠리클막의 물성
1-1-7-1. 방열성 및 내열성에 대해서
상술한 바와 같이, EUV 조사 시에는, EUV의 에너지가 다양한 완화 과정을 거쳐서 열로 변한다. 그로 인해, 펠리클막에는 방열성 및 내열성이 요구되지만, 종래의 단결정 실리콘막은 방열성이 낮고, EUV 조사 중에 열적 대미지를 받아서 변형되거나, 파손되기 쉽다는 문제가 있었다.
이에 반해, 상술한 DLC막, 아몰퍼스 카본막, 그래파이트막, 탄화규소막은, 모두 방열성 및 내열성을 겸비하여, EUV 리소그래피 중에, 펠리클막이 파손될 우려가 적다. 따라서, 펠리클막에 의해 원판을 확실하게 보호할 수 있다. 이하에, 상술한 DLC막, 아몰퍼스 카본막, 그래파이트막 및 탄화규소막이 방열성 및 내열성을 겸비하는 이유를 설명한다.
펠리클막의 방열성은 주로, (i)펠리클막의 복사성(적외선에 의한 에너지의 방출) 및 (ii)펠리클막의 열전도성에 따라 정해진다.
(i) 펠리클막의 복사율 ε(복사성)과, EUV 조사 중의 펠리클막의 온도 T, EUV 조사 강도 P, 펠리클막의 EUV 투과율 Tr, 슈테판·볼츠만 상수 σ 및, 벽 온도(EUV 조사 장치 내부의 온도) Tw와의 사이에는, 이하의 관계식 (1)이 성립된다. 또한, 관계식 (1)에서는, 펠리클막의 열전도성을 0으로 한다.
Figure 112015112860851-pct00001
도 3은 상기 식 (1)로부터 구해지는 「펠리클막 온도 T」와 「펠리클막의 복사율 ε」과의 관계를 나타내는 그래프이며; 당해 그래프에서는, 펠리클막의 EUV 투과율 Tr은 80%, EUV 조사 강도 P는 100W/㎠로 되어 있다. 도 3에 도시되는 바와 같이, 펠리클막의 복사율 ε이 커지면 커질수록, 펠리클막의 온도 T가 낮아지는 것을 알 수 있다.
한편, 도 4는 상술한 식 (1)로부터 구해지는 「펠리클막 온도 T」와 「펠리클막의 EUV 투과율 Tr」과의 관계를 나타내는 그래프이며; 당해 그래프에서는, 펠리클막의 복사율 ε을 0.01, EUV 조사 강도 P를 100W/㎠로 하고 있다. 도 4에 도시되는 바와 같이, 펠리클막의 EUV 투과율 Tr이 변화하면, 펠리클막의 온도 T는 다소 변화하지만, 그 변화량이 작다. 즉, 펠리클막의 온도 T는, 펠리클막의 EUV 투과율 Tr에는 거의 의존하지 않고, 펠리클막의 복사율 ε에 크게 의존한다.
여기서, 펠리클막의 복사율 ε을 실험적으로 구하는 것은 곤란하다. 단, 키르히호프의 법칙에 따르면, 적외선 흡수율이 높은 막일수록, 복사율 ε이 높아진다. 따라서, 펠리클막의 원적외선 흡수 스펙트럼으로부터, 펠리클막의 복사성이 어느 정도 예측된다.
종래의 펠리클막인 단결정 실리콘의 적외선 흡수는, Si-Si 결합의 신축 진동 모드에 기인하는 흡수뿐으로서, 적외선 흡수율이 낮다. 따라서, 단결정 실리콘막은 복사성이 낮다.
이에 반해, 아몰퍼스 카본이나 그래파이트, 아몰퍼스 탄화규소는, sp2 탄소 결합 유래의 강한 흡수를 가져, 적외선 흡수율이 높다. 또한, DLC나 제3 성분 도프 DLC는, C-H 결합 유래의 흡수나, sp2 탄소 결합 유래의 강한 흡수를 가져, 적외선 흡수율이 높다. 따라서, DLC막, 아몰퍼스 카본막, 그래파이트막, 아몰퍼스 탄화규소막은 모두 복사성이 높다.
(ii) 펠리클막의 열전도성은, 막을 구성하는 재료의 열전도도에 따라 정해진다. 단결정 실리콘의 열전도도는 150 내지 170W/mK이다. 이에 반해 그래파이트막의 열전도도는 1000 내지 5000W/mK이고, DLC막의 열전도도는 0.2 내지 30W/mK, 결정성의 탄화규소막의 열전도도는 100 내지 350W/mK이다. 즉, 실리콘막이나 DLC막, 탄화규소막은 열전도성이 낮은 데 반해, 그래파이트막은 열전도성이 높다.
이상으로부터, 종래의 펠리클막인 단결정 실리콘막은 (i) 복사성 및 (ii) 열전도성 모두 떨어지기 때문에, 방열성이 불충분한 것을 알 수 있다. 이에 반해, 상술한 DLC막, 아몰퍼스 카본막, 그래파이트막 및 탄화규소막은, (i) 복사성 및 (ii) 열전도성 중, 어느 한쪽, 또는 양쪽이 우수하다. 따라서, 방열성이 높다고 할 수 있다. 또한 특히, 그래파이트막은 (i) 복사성 및 (ii) 열전도성 양쪽이 우수하고, 방열성이 매우 높다.
한편, 펠리클막의 내열성은, 펠리클막을 구성하는 재료의 융점에 따라 정해진다. 그래파이트의 융점이 3600℃, 결정성 탄화규소의 융점은 2600℃이다. 또한, DLC 및 제3 성분 도프 DLC의 내열성도 매우 높다. 이에 반해, 단결정 실리콘의 융점은 1410℃이다.
즉, 상술한 DLC막, 아몰퍼스 카본막, 그래파이트막, 탄화규소막은, 종래의 단결정 실리콘막과 비교하여, 현저히 내열성이 우수하다.
1-1-7-2. 펠리클막의 EUV 투과성과 두께
상술한 펠리클막은, 리소그래피에 사용하는 광의 투과율이 높은 것이 바람직하다. 펠리클을 EUV 리소그래피에 사용하는 경우, EUV의 투과율이 높은 것이 바람직하고; EUV 리소그래피에 사용하는 광(예를 들어, 파장 13.5㎚의 광이나 파장 6.75㎚의 광)의 투과율이 50% 이상인 것이 바람직하고, 80% 이상인 것이 보다 바람직하며, 90% 이상인 것이 더욱 바람직하다. 펠리클막이 지지재에 의해 지지되는 경우나, 펠리클막이 후술하는 산화 방지층과 적층되는 경우에는, 이들을 포함하는 막의 광 투과율이 50% 이상인 것이 바람직하다.
펠리클막의 광 투과율 Tr은 포토다이오드로 측정된다. 구체적으로는, 펠리클막을 설치하지 않은 상태에서 검출되는 전류값(입사광 강도 I0) 및, 펠리클막을 설치한 상태에서 검출되는 전류값(투과광 강도 I)으로부터, 하기 식 (2)에 기초하여 구해진다.
Figure 112015112860851-pct00002
펠리클막의 두께는, 펠리클막의 광 투과율, 펠리클막의 적외선 흡수율, 펠리클막의 강도 및 자립성을 감안하여 설정되는 것이 바람직하다. 펠리클막의 바람직한 두께는, 10 내지 120㎚ 정도이고, 지지재를 구비하는 경우에는 9 내지 110㎚ 정도이다.
펠리클막의 두께 균일성이나 표면 거칠기도 특별히 상관없다. 예를 들어 EUV 노광의 패터닝 공정일 때, 막 두께의 불균일성이나 표면 거칠기에서 유래된 투과율의 불균일성이나 EUV 광의 산란에 의한 지장이 발생하지 않으면, 막 두께가 불균일해도 표면 거칠기가 있어도 된다. 펠리클막에 발생하는 주름의 유무도 특별히 상관없다. EUV 노광의 패터닝 공정일 때, 주름에서 유래된 투과율의 저하 및 불균일성이나, 산란에 의한 지장이 발생하지 않으면, 펠리클막에 주름이 있어도 된다.
펠리클막의 EUV 투과율 Tr과, 펠리클막의 두께 d와의 사이에는, 하기 식 (3)의 관계가 성립된다.
Figure 112015112860851-pct00003
식 (3)에 있어서의 밀도 ρ는 펠리클막을 구성하는 물질 고유의 밀도이다. 또한, 상기 식 (3)에 있어서의 질량 흡광 계수 μ는, 이하와 같이 구해진다. 광자의 에너지가 약 30eV보다 크고, 게다가 광자의 에너지가 원자의 흡수단으로부터 충분히 이격되어 있는 경우, 질량 흡광 계수 μ는 원자끼리의 결합 상태 등에 의존하지 않는다. 예를 들어 파장 13.5㎚의 광자 에너지는 92.5eV 부근이며, 원자의 흡수단으로부터도 충분히 이격되어 있다. 따라서, 상기 질량 흡광 계수 μ는, 펠리클막을 구성하는 화합물의 원자끼리의 결합 상태에 의존하지 않는다. 그로 인해, 펠리클막의 질량 흡수 계수 μ는, 펠리클막을 구성하는 각 원소(1, 2, …, i)의 질량 흡수 계수 μ1과, 각 원소의 질량 분율 Wi로부터, 이하의 식 (4)로 구해진다.
Figure 112015112860851-pct00004
상기 식 (4)에 있어서의 각 원소의 질량 흡수 계수 μi는, Henke 등에 의해 정리되어 있는 이하의 참고 문헌의 값을 적용할 수 있다(B. L. Henke, E. M. Gullikson, and J. C. Davis, "X-Ray Interactions: Photoabsorption, Scattering, Transmission, and Reflection at E =50-30,000eV, Z=1-92," At. Data Nucl. Data Tables 54, 181(1993) 이들 수치의 최신판은 http://wwwcxro.lbl.gov/optical_constants/에 게재되어 있음).
즉, 펠리클막의 질량 흡수 계수 μ, 필름의 밀도 ρ를 특정할 수 있으면, 원하는 EUV 투과율 Tr에 기초하여, 바람직한 펠리클막의 두께 d를 설정할 수 있다.
1-1-7-3. 펠리클막의 응력에 대해서
실리콘 웨이퍼 등의 기판 위에, 박막을 제막하여 얻은 펠리클막에는 응력이 잔류되는 경우가 있다. 펠리클막의 잔류 응력이 크면, 크랙이 발생하거나, 자립막으로 했을 때, 찢어짐의 원인이 되거나 하기 때문에, 펠리클막의 잔류 응력은 작은 편이 바람직하다. 펠리클막의 잔류 응력의 방향과 크기는, 제막한 기판의 휨 방향과 크기를 측정함으로써 측정할 수 있다. 제막한 기판의 휨의 방향과 크기는, 예를 들어 레이저광을 이용한 변위 계측 장치를 사용하여 측정할 수 있고, 구체적으로는 삼차원 형상 측정 장치(NH-3SP 미타카 코키 가부시키가이샤) 등을 사용하여 측정할 수 있다. 펠리클막의 잔류 응력의 크기는 1㎬ 이하인 것이 바람직하고, 보다 바람직하게는 0.5㎬ 이하, 더욱 바람직하게는 0.2㎬ 이하이다.
잔류 응력은, 인장 방향의 응력인 것이 바람직하다. 잔류 응력의 방향이 인장 방향인 경우에는, 막에 장력이 가해지기 때문에, 주름이 없는 자립막을 얻을 수 있다. 한편, 잔류 응력의 방향이 압축 방향인 경우에는, 막에 압축력이 가해지기 때문에 주름이 발생한다. 막에 주름이 발생하면, EUV 광이 막을 통과할 때의 막 두께는 주름의 각도에 따라 변화하기 때문에, EUV의 투과율에 불균일성이 발생하기 쉽다. 또한, 막에 주름이 발생하면, 진동 등의 외력에 대하여 찢어짐이 발생하기 쉬워지는 점에서도 바람직하지 않다.
1-1-7-4. 펠리클막의 EUV 내성 평가
펠리클막에 EUV를 조사하고, 조사 부분과 미조사 부분에 대해서, 각종 분석을 함으로써 EUV 내성을 평가할 수 있다. 예를 들어, XPS 측정, EDS 분석, RBS 등의 조성 분석의 방법, XPS, EELS, IR 측정이나 라만 분광 등의 구조 해석의 방법, 엘립소메트리나 간섭 분광법, X선 반사법 등의 막 두께 평가법, 현미경 관찰, SEM 관찰이나 AFM 관찰 등의 외관이나 표면 형상 평가 방법 등을 사용할 수 있다. 방열성은, 컴퓨터 시뮬레이션에 의한 해석 결과를 조합함으로써, 보다 상세하게 검토될 수 있다.
펠리클막은, EUV 광에 한하지 않고 평가 항목에 따라, 진공 자외선 조사, 자외-가시광선 조사, 적외선 조사, 전자선 조사, 플라즈마 조사, 가열 처리 등의 방법을 적절히 선택하여, 펠리클막의 내성 평가를 실시해도 된다.
<펠리클막의 막 강도의 평가에 대해서>
기판 위의 펠리클막 강도의 평가 방법으로서는, 나노 인덴터에 의한 평가 방법을 들 수 있다. 자립막의 막 강도의 평가 방법으로서는, 공명법이나 벌지 시험법, 에어 블로우에 의한 막의 찢어짐 유무의 평가법, 진동 시험에 의한 막의 찢어짐 유무의 평가법 등의 방법을 사용할 수 있다.
1-2. 산화 방지층
상술한 펠리클막의 표면에는, 산화 방지층이 적층되어도 된다. 펠리클막의 표면에 산화 방지층이 적층되면, EUV 조사시나 펠리클 보관시의 펠리클막의 산화가 억제된다. 산화 방지층은, 상술한 펠리클막의 한쪽 면에만 형성되어 있어도 되고, 양면에 형성되어 있어도 된다.
산화 방지층은, EUV에 대하여 안정된 재료를 포함하는 막이면, 그 종류는 특별히 제한되지 않는다. 예를 들어, SiOx(x≤2), SixNy(x/y는 0.7 내지 1.5), SiON, Y2O3, YN, Mo, Ru, Rb, Sr, Y, Zr, Nb 또는 Rh를 포함하는 막 등일 수 있다.
EUV의 투과를 저해하지 않기 위해서는, 산화 방지층의 두께는 1 내지 10㎚ 정도인 것이 바람직하고, 2 내지 5㎚ 정도가 더욱 바람직하다. 산화 방지막의 두께가 두꺼워지면, 산화 방지막에 EUV 광이 흡수됨으로써 투과율이 저하되는 경우가 있기 때문에, 바람직하지 않다. 펠리클막의 두께는, 10 내지 120㎚의 범위인 것이 바람직하고, 10 내지 30㎚가 보다 바람직하다. 펠리클막의 두께에 대한 산화 방지층의 두께의 비율은, 0.03 내지 1.0의 범위에 있는 것이 바람직하다. 펠리클막의 두께에 대한 산화 방지막의 두께의 비가 커지면, EUV 투과율이 저하되는 경우가 있기 때문에 바람직하지 않다.
또한, 산화 방지층을 적층하면, 새롭게 생성된 층 계면, 즉 산화 방지층과 공기와의 계면 및 산화 방지층과 펠리클막과의 계면에서, EUV 광의 반사가 발생한다. 그로 인해, 투과율의 저하가 발생한다. 이들 층 계면에서의 EUV 광의 반사율은, 펠리클막과 산화 방지층의 두께 및, 펠리클막과 산화 방지층을 구성하는 원소의 종류에 따라, 계산에 의해 산출할 수 있다. 그리고, 반사 방지막의 원리와 마찬가지로 막 두께를 최적화함으로써, 반사율을 저하시킬 수 있다.
산화 방지막의 두께는, 흡수에 의한 EUV 광의 투과율 저하와 반사에 의한 EUV 광의 투과율 저하를 억제하면서, 또한 산화 방지의 성능을 갖는 범위에서, 최적의 두께로 하는 것이 바람직하다.
산화 방지층의 두께 균일성이나 표면 거칠기도 특별히 제한되지 않는다. EUV 노광의 패터닝 공정일 때, 막 두께의 불균일성이나 표면 거칠기에서 유래된 투과율의 불균일성이나 EUV 광의 산란에 의한 지장이 발생하지 않으면, 산화 방지막이 연속층 또는 해도 형상 중 어느 쪽이어도 상관없고, 또한, 막 두께가 불균일해도 표면 거칠기가 있어도 된다.
펠리클막과 산화 방지층을 합한 펠리클막의 평균 굴절률은 1.9 내지 5.0의 범위인 것이 바람직하다. 굴절률은 분광 엘립소메트리 등의 방법으로 측정할 수 있다. 또한, 펠리클막과 산화 방지층을 합한 펠리클막의 평균 밀도는 1.5 내지 5.0g/㎤의 범위인 것이 바람직하다. 밀도는 X선 반사법 등의 방법으로 측정할 수 있다.
1-3. 펠리클 프레임
펠리클 프레임은, 상술한 펠리클막을, 막 접착제층 등을 개재하여 장력을 부여하여 설치할 수 있는 프레임이면 특별히 제한되지 않고, 예를 들어 알루미늄, 스테인리스강, 폴리에틸렌, 세라믹스제의 프레임일 수 있다. 예를 들어 도 1에 도시되는 바와 같이, 펠리클 프레임(14)은, 펠리클(10) 및 원판(도시하지 않음)에 둘러싸인 영역과, EUV 노광 장치 내의 기압을 일정하게 하기 위한 통기 구멍(16)을 가져도 된다. EUV 노광은, 진공 환경 하에서 행해지기 때문에, 이들의 기압이 불균일하면, 펠리클막(12)이 압력차에 의해 신축되거나, 파손될 우려가 있다. 통기 구멍(16)에는, 펠리클 및 원판에 둘러싸인 영역에 이물이 들어가지 않도록, 필터가 배치되는 것이 바람직하다. 필터는, ULPA(Ultra Low Penetration Air) 필터나, 금속 메쉬일 수 있다. 또한, 펠리클 프레임은 검사하기 쉽도록 노광에 지장이 없는 범위에서 착색되어 있어도 된다.
펠리클막을 펠리클 프레임에 고정하는 수순이나 방법은 특별히 제한되지 않는다. 또한, 에칭된 기판을 펠리클 프레임의 일부로서 사용해도 된다. 예를 들어, 금속, 실리콘 웨이퍼, 유리, 수지, 염 등, 특정한 처리 방법으로 제거할 수 있는 기판 위에 펠리클막을 적층한다. 그 후, 펠리클막의 배치면과 반대면의 기판 표면에, 프레임의 사이즈에 맞추어 마스크를 실시하고, 마스크 형상을 남긴 채 에칭 또는 용해시킨다. 그것에 의해, 기판의 일부를 펠리클 프레임으로서 사용한 펠리클을 얻을 수 있다.
기판의 형상을 프레임 형상과 맞추기 위한 트리밍 방법은 특별히 제한되지 않는다. 기판으로서 실리콘 웨이퍼를 사용하는 경우에는, 기계적으로 웨이퍼를 나누는 방법이나, 레이저 트리밍의 방법을 사용할 수 있다.
1-4. 막 접착제층
펠리클막(12)을 펠리클 프레임(14)에 장력을 부여하여 설치하는 방법은 특별히 제한되지 않고, 펠리클막(12)을 펠리클 프레임(14)에 직접 부착해도 되고, 펠리클 프레임(14)의 한쪽 단부면에 있는 막 접착제층(13)을 개재해도 되며, 기계적으로 고정하는 방법이나 자석 등의 인력을 이용하여 펠리클막(12)과 펠리클 프레임(14)을 고정해도 된다.
막 접착제층(13)은 펠리클 프레임과 펠리클막을 접착하는 층이다. 막 접착제층(13)은, 예를 들어 아크릴 수지 접착제; 에폭시 수지 접착제; 폴리이미드 수지 접착제; 실리콘 수지 접착제를 포함하는 층일 수 있다. EUV 노광시의 진공도를 유지하는 관점에서, 막 접착제층은 아웃 가스가 적은 것이 바람직하다. 아웃 가스의 평가 방법으로서, 예를 들어 승온 탈리 가스 분석 장치를 사용할 수 있다.
펠리클막과 펠리클 프레임이 접착성을 갖는 경우에는, 막 접착제층(13)을 사용하지 않아도 된다. 펠리클막과 펠리클 프레임의 접착성의 평가 방법으로서는, 예를 들어 압력, 면적, 거리, 각도를 바꾸어서 에어 블로우에 의해 막의 찢어짐이나 박리의 유무를 평가하는 방법이나, 가속도, 진폭을 바꾸어서 진동 시험에 의해 막의 찢어짐이나 박리의 유무를 평가하는 방법 등을 사용할 수 있다.
1-5. 원판용 접착재층
원판용 접착제층(15)은 펠리클 프레임(14)과 원판을 접착한다. 도 1에 도시되는 바와 같이, 원판용 접착제층(15)은 펠리클 프레임(14)의 펠리클막(12)이 장력을 부여하여 설치되어 있지 않은 측의 단부에 형성된다. 원판용 접착제층(15)은, 예를 들어 양면 점착 테이프, 실리콘 수지 점착제, 아크릴계 점착제, 폴리올레핀계 점착제 등이다. 예를 들어 EUV 노광시의 진공도를 유지한다는 관점에서는, 원판용 접착제층은, 아웃 가스가 적은 것이 바람직하다. 아웃 가스의 평가 방법으로서, 예를 들어 승온 탈리 가스 분석 장치를 사용할 수 있다.
펠리클을 EUV 노광에 사용하는 경우, 막 접착제층(13) 및 원판용 접착제층(15)은, EUV 노광 장치 내에서 산란된 EUV 광에 노출되기 때문에, EUV 내성을 갖는 것이 바람직하다. EUV 내성이 낮으면, EUV 노광 중에 접착제의 접착성이나 강도가 저하되어, 노광 장치 내부에서 접착제의 박리나 이물 발생 등의 문제가 발생한다. EUV 조사에 의한 내성 평가는, 예를 들어 XPS 측정, EDS 분석, RBS 등의 조성 분석의 방법 XPS, EELS, IR 측정이나 라만 분광 등의 구조 해석의 방법, 엘립소메트리나 간섭 분광법, X선 반사법 등의 막 두께 평가법, 현미경 관찰, SEM 관찰이나 AFM 관찰 등의 외관이나 표면 형상 평가 방법, 나노 인덴터나 박리 시험에 의한 강도 및 접착성 평가 방법 등을 사용할 수 있다.
원판을 펠리클 프레임(14)에 장력을 부여하여 설치하는 방법은 특별히 제한되지 않고, 원판을 펠리클 프레임(14)에 직접 부착해도 되고, 펠리클 프레임(14)의 한쪽 단부면에 있는 원판용 접착제층(15)을 개재해도 되며, 기계적으로 고정하는 방법이나 자석 등의 인력을 이용하여 원판과 펠리클 프레임(14)을 고정해도 된다.
1-6. 펠리클의 용도
본 발명의 펠리클은, 각종 노광 장치 내에서 원판에 이물이 부착되는 것을 억제하는 보호 부재로서 사용 가능하다. 특히, EUV 노광 장치 내에서, 원판에 이물이 부착되는 것을 억제하기 위한 부재로서 유용하다. 또한, 각종 노광 장치 내에서, 원판에 이물이 부착되는 것을 억제하기 위한 보호 부재로서뿐만 아니라, 원판의 보관시나, 원판의 운반시에 원판을 보호하기 위한 보호 부재로 해도 된다. 예를 들어, 원판에 펠리클을 장착한 상태(노광 원판)로 해 두면, 노광 장치로부터 분리한 후, 그대로 보관하는 것 등이 가능하게 된다. 펠리클을 원판에 장착하는 방법으로는, 접착제로 부착하는 방법, 정전 흡착법, 기계적으로 고정하는 방법 등이 있다.
리소그래피에서는, 회로 패턴이 정확하게 전사되는 것이 필요하다. 따라서, 노광 범위에서 노광광의 투과율이 거의 균일한 것이 필요하다. 본 발명의 펠리클막을 사용함으로써 노광 범위에서 일정한 광선 투과율을 갖는 펠리클이 얻어진다.
2. EUV 노광 장치
본 발명의 펠리클을, EUV 노광 장치에서 사용하는 예를 나타낸다. EUV 노광 장치의 개략 단면도를 도 5에 도시한다. EUV 노광 장치에는, EUV를 출사하는 EUV 광원(31)과, EUV 광원(31)으로부터의 광을 원판(33)으로 유도하는 조명 광학계(37)와, 패턴 형상으로 EUV를 반사하는 원판(33)과, 원판(33)이 반사한 광을 감응 기판(34)에 유도하는 투영 광학계(38)가 포함된다. 상기 펠리클(10)은 원판(33)의 EUV 조사면측에 부착한다. 또한, 상기 필터·윈도우(20, 25)는, EUV 광원(31)과 조명 광학계(37)의 사이 및 조명 광학계(37)와 원판(33)의 사이에 각각 설치된다. EUV 노광 장치에서는, 원판(33)에 의해 반사된 광이, 투영 광학계(38)를 통하여 감응 기판(34) 위로 유도되어, 감응 기판(34)이 패턴 형상으로 노광된다. 또한, EUV에 의한 노광은 감압 조건 하에서 행해진다.
EUV 광원(31)은 조명 광학계(37)를 향해서 EUV를 출사한다. EUV 광원(31)에는, 타깃재와, 펄스 레이저 조사부 등이 포함된다. 이 타깃재에 펄스 레이저를 조사하여, 플라즈마를 발생시킴으로써, EUV가 얻어진다. 타깃재를 Xe라 하면, 파장 13 내지 14㎚의 EUV가 얻어진다. EUV 광원이 발하는 광의 파장은, 13 내지 14㎚에 한정되지 않고, 파장 5 내지 30㎚의 범위 내의, 목적에 적합한 파장의 광이면 된다.
조명 광학계(37)는 EUV 광원(31)으로부터 조사된 광을 집광하고, 조도를 균일화하여 원판(33)에 조사한다. 조명 광학계(37)에는, EUV의 광로를 조정하기 위한 복수매의 다층막 미러(32)와, 광결합기(옵티컬 인테그레이터) 등이 포함된다. 다층막 미러는, 몰리브덴(Mo), 실리콘(Si)이 교대로 적층된 다층막 등이다.
필터·윈도우(20, 25)의 장착 방법은 특별히 제한되지 않고, 접착제 등을 개재하여 부착하는 방법이나, 기계적으로 EUV 노광 장치 내에 고정하는 방법 등을 들 수 있다. EUV 광원(31)과 조명 광학계(37)의 사이에 배치되는 필터·윈도우(20)는 광원으로부터 발생하는 비산 입자(파편)를 포착하여, 비산 입자(파편)가 조명 광학계(37) 내부의 소자(예를 들어 다층막 미러(32))에 부착되지 않도록 한다. 한편, 조명 광학계(37)와 원판(33)과의 사이에 배치되는 필터·윈도우(25)는, EUV 광원(31)측으로부터 비산하는 입자(파편)를 포착하여, 비산 입자(파편)가 원판(33)에 부착되지 않도록 한다.
원판(33)은 지지 기판과, 이 지지 기판 위에 적층된 반사층과, 반사층 위에 형성된 흡수체층을 포함하는 구조로 할 수 있다. 흡수체층이 EUV를 일부 흡수함으로써, 감응 기판(34) 위에 원하는 상이 형성된다. 반사층은, 몰리브덴(Mo)과 실리콘(Si)과의 다층막일 수 있다. 흡수체층은, 크롬(Cr)이나 질화 탄탈륨 등, EUV 흡수성이 높은 재료일 수 있다.
또한, 펠리클(10)은 원판용 접착제층 등을 개재하여 원판(33)에 장착된다. 원판에 부착된 이물은, EUV를 흡수, 또는 산란시키기 때문에, 웨이퍼에 대한 해상 불량을 야기한다. 따라서, 펠리클(10)은 원판(33)의 EUV 조사 에리어를 덮도록 장착되고, EUV는 펠리클막(12)을 통과하여, 원판(33)에 조사된다.
펠리클(10)의 원판(33)에 대한 장착 방법으로서는, 원판 표면에 이물이 부착되지 않도록 원판에 설치할 수 있는 방법이면 되고, 펠리클 프레임(14)과 원판(33)을 접착제로 부착하는 방법이나, 정전 흡착법, 기계적으로 고정하는 방법 등을 들 수 있지만 특별히 한정되지 않는다. 바람직하게는, 접착제로 부착하는 방법이 사용된다.
원판(33)에서 반사된 EUV는, 펠리클막(12)을 통과하고, 투영 광학계(38)를 통하여 감응 기판(34)에 조사된다. 투영 광학계(38)는 원판(33)에서 반사된 광을 집광하여, 감응 기판(34)에 조사한다. 투영 광학계(38)에는, EUV의 광로를 제조하기 위한 복수매의 다층막 미러(35, 36) 등이 포함된다.
감응 기판(34)은, 반도체 웨이퍼 위에 레지스트가 도포된 기판 등이며, 원판(33)에 의해 반사된 EUV에 의해, 레지스트가 패턴 형상으로 경화된다. 이 레지스트를 현상하고, 반도체 웨이퍼의 에칭을 행함으로써, 반도체 웨이퍼에 원하는 패턴을 형성한다.
[실시예]
[실시예 1]
(1) 펠리클막의 제작
직경 4인치의 원형 실리콘 웨이퍼 위에, 플라즈마 이온 주입·성막법(PBIID법)으로, 두께 90㎚의 펠리클막(DLC(a-C:H)막)을 성막하였다. 또한, 펠리클막 및 실리콘 웨이퍼의 적층체(샘플)는 2개 준비하였다. 얻어진 펠리클막에 대해서, 이하의 방법으로, 조성의 특정, 굴절률 측정, 라만 스펙트럼 측정을 행하였다.
(1-1) 조성의 특정
얻어진 펠리클막에 포함되는 각 원소의 양을, 러더포드 후방 산란 분광법(RBS)/수소 전방 산란 분석법(HFS) 및 XPS 측정법으로 특정하였다.
RBS/HFS 측정은, 가속기(National Electrostatics Corporation사 제조 Pelletron3SDH)를 사용하였다. 측정 조건은, 입사 이온: 4He++, 입사 에너지: 2300keV, 입사각: 75°, 산란각: 160°, 반도각: 30°, 빔 직경: 2㎜로 하였다. 한편, XPS 측정은, X선 광전자 분광 장치(KRATOS사 제조 AXIS-ULTRA 시리즈)를 사용하였다. X선원은 AlKα, 분석 면적은 120×120㎛로 하였다. 산출된 조성비를 표 1에 나타낸다.
(1-2) 굴절률 측정
얻어진 펠리클막에 대해서, 분광 엘립소메트리(호리바세이사쿠쇼사 제조 Auto-SE)로, Ψ(s 편광과 p 편광과의 진폭비) 및 Δ(s 편광과 p 편광과의 위상차)의 스펙트럼을 검출하였다. 측정 조건은, 측정 파장: 400 내지 1000㎚, 입사각: 70°, 집광 빔 직경: 100㎛로 하였다.
그리고, 상기 스펙트럼을, 지지재(여기서는 실리콘 웨이퍼(Si))의 분산식(문헌값) 및 막의 유전 함수 모델(Tauc-Lorentz식)에 기초하여 해석하였다. 해석은, 기판/박막/표면 거칠기층을 포함하는 2층 모델을 사용하여 행했다. 그리고, 펠리클막의 각 파장에 있어서의 굴절률 n 및 소쇠 계수 k를 산출하였다. 산출된 파장 550㎚의 광의 굴절률 n을 표 1에 나타낸다.
(1-3) 라만 스펙트럼 측정
얻어진 펠리클막에 대해서, 라만 현미경(호리바세이사쿠쇼사 제조 XploRA)으로, 라만 스펙트럼을 측정하였다. 측정 조건은, 환경 분위기: 대기 중, 여기광: 532㎚, 그래이팅: 600T, 측정 영역: 400 내지 3200㎝-1로 하였다. 측정된 라만 스펙트럼에 대해서, 약 900 내지 1800㎝-1에 나타나는 폭이 넓은 피크를, 가우스 함수로 1590㎝-1 부근의 G 밴드 및, 1350㎝-1 부근의 D 밴드 2개로 분리하고, G 밴드의 강도(I(G))를 산출하였다. 한편, 2800 내지 2600㎝-1에 나타나는 2D 밴드의 강도(I(2D))를 특정하고, G 밴드의 강도(I(G))와 2D 밴드의 강도(I(2D))와의 비(I(2D)/I(G))를 구하였다. 산출된 강도비(2D/G)를 표 1에 나타낸다.
(2) 실리콘 웨이퍼(지지재)의 가공
상술한 2개의 샘플 중, 한쪽 샘플의 실리콘 웨이퍼(지지재)를 가공하였다. 구체적으로는, 실리콘 웨이퍼를 연마하여, 실리콘 웨이퍼의 두께를 200㎛로 하였다. 또한, 실리콘 웨이퍼측에서, 실리콘 웨이퍼를, 메쉬 형상으로 건식 에칭하였다. 메쉬를 구성하는 라인의 폭은 10㎛, 라인끼리의 간격은 200㎛로 하였다. 또한, 실리콘 웨이퍼의 주연부(폭 10㎜)는 에칭하지 않았다. 얻어진 실리콘 메쉬 위의 펠리클막을 반사형 광학 현미경 및 투과형 광학 현미경으로 관찰한 결과, 실리콘 메쉬와 접촉하고 있지 않은 부분의 펠리클막에 찢어짐은 보이지 않았다.
(3) EUV 조사
지지재 미가공된 샘플(샘플 1-A) 및 지지재를 메쉬 가공한 샘플(샘플 1-B)의 펠리클막에, 각각 이하의 조건에서 EUV를 조사하였다.
펠리클막측으로부터, EUV 조사 장치(뉴스바루(시설명) BL-10, 효고 켄리츠다이)로, 파장 13.5㎚의 광(EUV)을 조사하였다. 조도는 150mW/㎠, 조사 시간은 30분으로 하고, EUV의 조사 방향은 막면에 대하여 수직 방향으로 하였다. 입사광 강도의 반치전폭으로 구한 빔 사이즈는 0.15㎜×0.8㎜였다.
EUV 조사 후의 샘플 1-A에 대해서, 이하의 방법으로, EUV 조사부의 변색의 확인, EUV 조사 후의 라만 스펙트럼의 변화, XPS 측정값의 변화를 확인하였다. 결과를 표 1에 나타낸다. 또한, 도 6에, XPS로 측정된 스펙트럼(EUV 조사 전 및 EUV 조사 후)을 나타낸다.
한편, EUV 조사 후의 샘플 1-B에 대해서, 이하의 방법으로 EUV 조사부의 변색의 확인, EUV 투과율의 측정, EUV 투과율 안정성의 평가를 행하였다. 결과를 표 1에 나타낸다.
(3-1) 외관 관찰(변색의 평가)
샘플 1-A 및 샘플 1-B에 대해서, EUV 조사 전후에, 펠리클막에 변색이 발생하였는지를, 반사형 광학 현미경으로 관찰하였다. 평가는 이하와 같이 행하였다.
EUV를 조사한 영역에 변색이 전혀 보이지 않음: ○
EUV를 조사한 영역에 변색이 보임: ×
(3-2) 라만 스펙트럼의 변화
샘플 1-A의 EUV가 조사된 영역에 대해서, 라만 스펙트럼 측정하고, 2D 밴드의 강도(I(2D))와 G 밴드의 강도(I(G))의 비(I(2D)/I(G))를 구하였다. 라만 스펙트럼의 측정 방법 및 강도비의 산출 방법은, 상술한 방법과 마찬가지로 하였다. 그리고, 하기 기준으로, EUV 조사 전후에 있어서의 DLC막의 EUV에 대한 안정성을 평가하였다. 당해 변화가 큰 것은, 막의 조성 또는 막을 구성하는 탄소 원자의 결합 상태가 변화한 것을 나타낸다.
EUV 조사 후와 조사 전에, G 밴드와 2D 밴드의 강도비의 변화가 5% 이하: ○
EUV 조사 후와 조사 전에, G 밴드와 2D 밴드의 강도비의 변화가 5%를 초과함: ×
(3-3) XPS 측정값의 변화
탄소를 포함하는 막에서는, XPS 스펙트럼의 C1s 피크 중에, sp2 결합 유래의 피크(284eV)와 sp3 결합 유래의 피크(285eV)가 관찰된다.
따라서, 샘플 1-A에 대해서, EUV 조사 전의 DLC막의 C1s 피크(284eV의 피크 강도와 285eV의 피크 강도의 비)와, EUV 조사 후의 DLC막의 C1s 피크를 비교하여; 막을 구성하는 탄소 원자의 결합 상태가 변화되었는지를 확인하였다.
XPS 스펙트럼은, X선 광전자 분광 장치(KRATOS사 제조 AXIS-Ultra 시리즈 분석 면적 120㎛)로 취득하였다. 그리고, 하기 기준으로, 펠리클막의 EUV에 대한 안정성을 평가하였다.
EUV의 조사 전후에 C1s 스펙트럼의 변화가 5% 이하: ○
EUV의 조사 전후에 C1s 스펙트럼의 변화가 5%를 초과함: ×
(3-4) EUV 투과율의 측정
샘플 1-B의 펠리클막을 투과하는 EUV를, 포토다이오드로 검출하고; 이때의 전류값으로부터 EUV 투과율을 구하였다. 구체적으로는, 샘플을 설치하고 있지 않은 상태에서 검출된 전류값(입사광 강도 I0)과, 샘플을 설치한 상태에서 검출된 전류값(투과광 강도 I)으로부터, 하기식 (2)를 따라서 EUV 투과율 Tr을 구하였다. 샘플 설치 후의 전류값의 검출은, EUV 조사 개시 직후에 행했다.
Figure 112015112860851-pct00005
(3-5) EUV 투과율의 안정성 평가
샘플 1-B에 대해서, EUV 조사 중의 EUV 투과율을, 상술한 방법으로 산출하였다. 그리고, EUV 조사 시간 t에 있어서의 투과율 Tr(t)을 조사 개시 직후의 투과율 Tr(0)로 나눈 규격화 투과율(하기 식 (5)에서 구해지는 값)이라고 정의하고, 당해 규격화 투과율의 변동을 계측하였다.
Figure 112015112860851-pct00006
하기 기준으로, 막의 EUV 투과율 안정성을 평가하였다.
30분간에 걸쳐, 규격화 투과율의 변동이 3% 미만: ○
30분간에 걸쳐, 규격화 투과율의 변동이 3% 이상: ×
[실시예 2]
(1) 펠리클막의 제작
직경 4인치의 실리콘 웨이퍼 위에 FCVA법(Filtered Cathodic Vacuum Arc법)으로, 두께 100㎚의 펠리클막(DLC(ta-C)막)을 성막하였다. 얻어진 펠리클막에 대해서, 실시예 1과 마찬가지의 방법으로, 조성의 특정, 굴절률 측정, 라만 스펙트럼 측정을 행하였다. 결과를 표 1에 나타낸다.
(2) EUV 조사
지지재 미가공된 샘플(샘플 2)에, 실시예 1과 마찬가지의 조건에서, EUV를 조사하였다. 당해 샘플 2에 대해서, EUV 조사부의 변색의 확인, EUV 조사 후의 라만 스펙트럼의 변화, XPS 측정값의 변화를 확인하였다. 결과를 표 1에 나타낸다. 또한, 도 7에, EUV 조사 전후의 라만 스펙트럼을 나타낸다.
[실시예 3]
(1) 펠리클막의 제작
폭 5㎝, 길이 5㎝의 슬라이드 글래스 위에 진공 증착법에 의해 두께 120㎚의 아몰퍼스 카본막을 성막하였다. 당해 슬라이드 글래스를 물에 침지하여 아몰퍼스 카본막을 슬라이드 글래스로부터 박리하였다. 그리고, 아몰퍼스 카본막을 수지제의 원형 프레임(직경 10㎜)으로 건져 냈다. 아몰퍼스 카본막에 찢어짐은 보이지 않았다. 얻어진 펠리클막에 대해서, 실시예 1과 마찬가지의 방법으로, 조성의 특정, 굴절률 측정, 라만 스펙트럼측정을 행하였다. 결과를 표 1에 나타낸다.
(2) EUV 조사
수지제의 프레임으로 지지된 펠리클막(샘플 3)에, 실시예 1과 마찬가지의 조건에서 EUV를 조사하였다. 그리고, EUV 조사부의 변색의 확인, EUV 투과율의 측정, EUV 투과율 안정성, EUV 조사 후의 라만 스펙트럼의 변화, XPS 측정값의 변화를 확인하였다. 결과를 표 1에 나타낸다. 또한, 도 8에, EUV 규격 투과율의 변동을 나타내는 그래프를 도시한다.
[실시예 4]
(1) 펠리클막의 제작
직경 4인치의 실리콘 웨이퍼 위에 이온 플레이팅법에 의해 두께 100㎚의 펠리클막(아몰퍼스 탄화규소막)을 성막하였다. 펠리클막 및 실리콘 웨이퍼를 포함하는 적층체는, 2개 준비하였다. 얻어진 펠리클막에 대해서, 실시예 1과 마찬가지의 방법으로, 조성의 특정, 굴절률 측정, 라만 스펙트럼 측정을 행하였다. 결과를 표 1에 나타낸다.
(2) 실리콘 웨이퍼(지지재)의 가공
2개의 샘플 중, 한쪽 샘플만, 실리콘 웨이퍼(지지재)를 메쉬 형상으로 가공하였다. 실리콘 웨이퍼의 가공 방법은, 실시예 1과 마찬가지이다. 얻어진 실리콘 메쉬 위의 펠리클막을 반사형 광학 현미경 및 투과형 광학 현미경으로 관찰한 결과, 실리콘 메쉬와 접촉하고 있지 않은 부분의 펠리클막에 찢어짐은 보이지 않았다.
(3) EUV 조사
지지재 미가공된 샘플(샘플 4-A) 및 지지재를 메쉬 가공한 샘플(샘플 4-B)의 펠리클막에, 실시예 1과 마찬가지의 조건에서, 각각 EUV를 조사하였다. 샘플 4-A에 대해서, EUV 조사부의 변색의 확인, EUV 조사 후의 라만 스펙트럼의 변화, XPS 측정값의 변화를 확인하였다. 결과를 표 1에 나타낸다. 한편, 샘플 4-B에 대해서, EUV 조사부의 변색의 확인을 행하였다. 결과를 표 1에 나타낸다. 또한, 도 9에, XPS로 측정된 스펙트럼(EUV 조사 전 및 EUV 조사 후)을 나타낸다.
[실시예 5]
(1) 펠리클막의 제작
MIKROMASCH사 제조 고배향 열분해 그래파이트(HOPG)막(그레이드: ZYA, Double Side, 두께 2㎜)을 준비하였다. 당해 그래파이트막의 모자이크 스프레드는 0.4±0.1이고, 밀도는 2.27g/㎤였다. 당해 그래파이트막(12㎜×12㎜×2㎜)에, 프레임 형상으로 오려낸 점착 테이프(외형 치수: 12㎜×12㎜, 프레임의 폭: 1㎜)를 부착하였다. 그리고, 당해 점착 테이프를 당해 그래파이트막으로부터 기계적으로 박리함으로써 그래파이트막을 벽개하여, 두께 0.24㎜의 그래파이트막을 얻었다. 그래파이트막에 찢어짐은 보이지 않았다. 얻어진 그래파이트막을 알루미늄 합금 A7075제의 펠리클 프레임(외형 치수: 12㎜×12㎜, 프레임의 폭: 1㎜)에 부착하고, 펠리클을 얻었다.
얻어진 펠리클막에 대해서, 실시예 1과 마찬가지로 조성의 특정 및 라만 스펙트럼 측정을 행하였다. 결과를 표 1에 나타낸다.
(2) EUV 조사
상기 펠리클막에, 실시예 1과 마찬가지의 조건에서, EUV를 조사하였다. 그리고, EUV 조사부의 변색의 확인, EUV 투과율의 측정, EUV 투과율 안정성, EUV 조사 후의 라만 스펙트럼의 변화, XPS 측정값의 변화를 확인하였다. 결과를 표 1에 나타낸다.
[실시예 6]
(1) 펠리클막의 제작
직경 4인치의 실리콘 웨이퍼 위에 SiH2Cl2와 C2H2와의 혼합 가스를 사용한 LPCVD법에 의해, 두께 300㎚의 다결정 탄화규소막을 성막하였다. 다결정 탄화규소막 및 실리콘 웨이퍼를 포함하는 적층체를 2개 준비하였다. 얻어진 다결정 탄화규소막을 CMP법으로 연마함으로써 두께 150㎚의 펠리클막으로 하였다. 얻어진 펠리클막에 대해서, 실시예 1과 마찬가지의 방법으로, 조성의 특정, 굴절률 측정, 라만 스펙트럼 측정을 행하였다. 결과를 표 1에 나타낸다.
(2) 실리콘 웨이퍼(지지재)의 가공
2개의 샘플 중, 한쪽 샘플에 대해서, KOH 수용액(농도 17%)의 에칭액을 사용하여 80℃에서 습식 에칭을 행하고, 500㎛×1000㎛의 펠리클막을 얻었다. 얻어진 펠리클막을 반사형 광학 현미경 및 투과형 광학 현미경으로 관찰한 결과, 실리콘 메쉬와 접촉하고 있지 않은 부분의 펠리클막에 찢어짐은 보이지 않았다.
(3) EUV 조사
상기 펠리클막에, 실시예 1과 마찬가지의 조건에서, EUV를 조사하였다. 또한, 실시예 1과 마찬가지의 방법으로, 상기 펠리클막에 관한 EUV 조사부의 변색의 확인, EUV 투과율, EUV 투과율의 안정성 평가를 행하였다.
[비교예 1]
구리박을 준비하고, 당해 구리박 위에 CH4, H2, Ar의 혼합 가스를 사용하여 CVD법으로 그래핀막을 제작하였다. 얻어진 그래핀막 위에 PET(폴리에틸렌테레프탈레이트) 필름을 적층하였다. 당해 적층체를 희염산에 침지하고, 구리박을 용해 제거하였다. 그 후, PET 필름과 그래핀과의 적층체를, 헥사플루오로이소프로판올에 침지시켜서, PET 필름을 용해 제거하였다. 그러나, PET 필름 용해 중에 그래핀막이 찢어져 버려, 펠리클막이 얻어지지 않았다.
Figure 112015112860851-pct00007
표 1에 나타나는 바와 같이, 실시예 1 내지 6에서는, EUV 조사 후의 펠리클막에 변색이 보이지 않았다. 또한, 라만 스펙트럼 측정, XPS 측정에 의한 평가 결과도 양호하여, EUV 조사에 의해 막이 거의 변질되지 않았다. 또한, 30분간의 EUV 연속 조사를 행해도, EUV 투과율이 거의 변화하지 않았다. 이들 결과로부터, DLC막, 아몰퍼스 탄소막, 아몰퍼스 탄화규소막, 그래파이트, 다결정 탄화규소는, EUV 조사시의 에너지(광이나 열)에 의해 거의 열화되지 않았다고 추정된다.
또한 펠리클 조사 장치 내에서, 펠리클막은, 장시간의 EUV 조사에 의해 고온이 된다. 한편, 장치 정지 후에는 실온까지 냉각된다. 따라서, 펠리클막에는, 이러한 온도 변화에도 견딜 수 있을 것이 요구되지만; DLC막, 아몰퍼스 탄소막, 아몰퍼스 탄화규소막, 그래파이트막은, 상술한 바와 같이 내열성이나 방열성이 우수하기 때문에, 온도 변화에 의해서도 열화되기 어렵다고 추정된다.
여기서, 실시예 5의 그래파이트막의, 파장 135㎚의 광의 투과율 Tr의 이론값을, 이하의 (3)에 기초하여 산출한 결과; 투과율 Tr의 이론값은 20%이고, 상기 측정 결과와 거의 일치하였다.
Figure 112015112860851-pct00008
(식 (3) 중, I는 투과광 강도, I0은 입사광 강도 I0, d는 막의 두께(여기서는 0.24 ㎛), ρ는 밀도, μ는 펠리클막의 질량 흡광 계수를 나타냄)
즉, 상기 식 (3)에 기초하면, 펠리클막의 두께를 변화시켰을 때의 광 투과율 Tr을 예측할 수 있다. 따라서, 상기 식 (3)에 기초하여, 두께 100㎚의 그래파이트막 및 실리콘막의 파장 13.5㎚의 광의 투과율을 산출하였다. 산출된 투과율은, 그래파이트막이 52%이고, 실리콘막이 86%였다. 마찬가지로, 두께 100㎚의 그래파이트막 및 실리콘막의 파장 6.75㎚의 광의 투과율을 산출하였다. 산출된 투과율은, 그래파이트막이 84%이고, 실리콘막이 17%였다.
이 결과에 기초하면, 그래파이트막을 포함하는 펠리클막은, 두께를 100㎚로 하면, 파장 13.5㎚의 광 및, 파장 6.75㎚의 광의 투과율 모두 50% 이상이 되고, EUV의 조사 효율이 양호해지는 것을 알 수 있다.
본 발명의 펠리클은, EUV 투과성이 높고, 또한, EUV 조사시에 펠리클막이 열적 대미지를 받기 어려우며, 또한 EUV 조사에 대하여 화학적으로 안정하다. 따라서, EUV 리소그래피용 원판이나, 광학계를 확실하게 보호하기 위한 펠리클로서, 매우 유용하다.
10: 펠리클
12: 펠리클막
13: 막 접착제층
14: 펠리클 프레임
15: 원판용 접착제층
16: 통기 구멍
20, 25: 필터·윈도우
31: EUV 광원
32, 35, 36: 다층막 미러
33: 원판
34: 감응 기판
37: 조명 광학계
38: 투영 광학계

Claims (15)

  1. 파장 550㎚의 광의 굴절률 n이 1.9 내지 5.0인 펠리클막과, 상기 펠리클막이 부착된 펠리클 프레임을 갖는 펠리클이며,
    상기 펠리클막은, 탄소가 70 내지 90몰%, 또한 수소가 10 내지 30몰%인 다이아몬드 라이크 카본막, 그래파이트막, 및 탄소가 80 내지 85몰%, 또한 규소가 15 내지 20몰%인 아몰퍼스 탄화규소막으로 이루어지는 군으로부터 선택되는 1종 이상의 막을 포함하고,
    상기 펠리클막의 라만 스펙트럼에 있어서의, 2D 밴드와 G 밴드의 강도비(2D 밴드의 강도/G 밴드의 강도)가 1 이하이거나, 또는, 2D 밴드와 G 밴드의 강도가 각각 0인 펠리클.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 제1항에 있어서,
    상기 펠리클막의 두께가 10 내지 120㎚인 펠리클.
  7. 제1항에 있어서,
    상기 펠리클막이 고분자 필름을 그래파이트로 전화한 막인 펠리클.
  8. 제7항에 있어서,
    상기 고분자 필름이 폴리이미드 필름인 펠리클.
  9. EUV 광원과, 광학계와, 원판을 갖는 EUV 노광 장치이며,
    상기 원판에, 상기 EUV 광원으로부터의 광이 상기 광학계를 통하여 유도되고,
    상기 원판의 광 입사면에, 제1항에 기재된 펠리클이 설치되어 있는 EUV 노광 장치.
  10. 원판과, 상기 원판에 장착된 제1항에 기재된 펠리클을 포함하는 노광 원판.
  11. EUV 광원으로부터, 제10항에 기재된 노광 원판의 상기 펠리클막을 통과시켜, 상기 원판에 EUV를 조사하는 스텝과,
    상기 원판이 반사한 EUV를, 상기 펠리클막을 통과시켜서 감응 기판에 조사하여, 감응 기판을 패턴 형상으로 노광하는 스텝을 포함하는 노광 방법.
  12. 삭제
  13. 삭제
  14. 제1항에 있어서,
    상기 펠리클막이, 모자이크 스프레드가 0.1 이상 5.0 이하인 그래파이트막인 펠리클.
  15. 제7항에 있어서,
    상기 펠리클막이, 고분자 필름을 그래파이트로 전화한 후 재어닐링한 막인 펠리클.
KR1020157033055A 2013-05-24 2014-05-20 펠리클 및 이것을 포함하는 euv 노광 장치 KR101707763B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2013-110042 2013-05-24
JP2013110042 2013-05-24
JP2013204242 2013-09-30
JPJP-P-2013-204242 2013-09-30
PCT/JP2014/002642 WO2014188710A1 (ja) 2013-05-24 2014-05-20 ペリクル、及びこれらを含むeuv露光装置

Publications (2)

Publication Number Publication Date
KR20150145256A KR20150145256A (ko) 2015-12-29
KR101707763B1 true KR101707763B1 (ko) 2017-02-16

Family

ID=51933277

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157033055A KR101707763B1 (ko) 2013-05-24 2014-05-20 펠리클 및 이것을 포함하는 euv 노광 장치

Country Status (7)

Country Link
US (1) US9703187B2 (ko)
EP (1) EP3007206A4 (ko)
JP (1) JP6364404B2 (ko)
KR (1) KR101707763B1 (ko)
CN (1) CN105229776B (ko)
TW (1) TWI661263B (ko)
WO (1) WO2014188710A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220098614A (ko) 2021-01-04 2022-07-12 한국표준과학연구원 오염 입자 차단부와 이를 포함하는 극자외선 노광 장치
KR20220098627A (ko) 2021-01-04 2022-07-12 한국표준과학연구원 오염 입자 포집부와 이를 포함하는 극자외선 노광 장치

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6326056B2 (ja) * 2013-09-30 2018-05-16 三井化学株式会社 ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法
TWI658321B (zh) * 2013-12-05 2019-05-01 荷蘭商Asml荷蘭公司 用於製造一表膜的裝置與方法,以及一表膜
JP6279722B2 (ja) 2014-05-19 2018-02-14 三井化学株式会社 ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法
KR20240038172A (ko) * 2014-07-04 2024-03-22 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치
KR102242562B1 (ko) * 2014-09-04 2021-04-20 삼성전자주식회사 극자외선(euv) 마스크 보호장치 및 그 보호장치를 포함한 euv 노광 장치
WO2016043301A1 (ja) 2014-09-19 2016-03-24 三井化学株式会社 ペリクル、ペリクルの製造方法及びペリクルを用いた露光方法
SG11201701805QA (en) * 2014-09-19 2017-04-27 Mitsui Chemicals Inc Pellicle, production method thereof, exposure method
GB2534404A (en) 2015-01-23 2016-07-27 Cnm Tech Gmbh Pellicle
CN113721420A (zh) * 2015-02-03 2021-11-30 Asml荷兰有限公司 掩模组件和相关联的方法
US9842724B2 (en) * 2015-02-03 2017-12-12 Kla-Tencor Corporation Method and system for imaging of a photomask through a pellicle
SG11201705304PA (en) * 2015-02-24 2017-07-28 Mitsui Chemicals Inc Pellicle film, pellicle frame, and pellicle and method for producing same
JP6370255B2 (ja) * 2015-04-07 2018-08-08 信越化学工業株式会社 ペリクル用フレーム及びそれを用いたペリクル
WO2016175019A1 (ja) * 2015-04-27 2016-11-03 三井化学株式会社 ペリクルの製造方法およびペリクル付フォトマスクの製造方法
KR102366806B1 (ko) * 2015-05-13 2022-02-23 삼성전자주식회사 열 축적을 방지하는 펠리클 및 이를 포함하는 극자외선 리소그래피 장치
JP6669464B2 (ja) * 2015-10-19 2020-03-18 信越化学工業株式会社 Euv用ペリクル
JP6516665B2 (ja) * 2015-10-29 2019-05-22 信越化学工業株式会社 Euvリソグラフィー用ペリクルに適した接着剤とこれを用いたペリクル
EP3391138A1 (en) * 2015-12-14 2018-10-24 ASML Netherlands B.V. A membrane for euv lithography
US9759997B2 (en) * 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
CA3008477A1 (en) * 2015-12-17 2017-06-22 Asml Netherlands B.V. Pellicle and pellicle assembly
JP6478283B2 (ja) 2015-12-24 2019-03-06 信越化学工業株式会社 Euv露光用ペリクル
KR101762059B1 (ko) * 2016-01-13 2017-07-31 한양대학교 산학협력단 Euv 펠리클 구조체, 및 그 제조 방법
KR102186010B1 (ko) * 2016-01-26 2020-12-04 한양대학교 산학협력단 Euv 펠리클 구조체, 및 그 제조 방법
KR101753132B1 (ko) * 2016-02-17 2017-07-04 주식회사 에프에스티 유기물 희생층 기판을 이용한 초극자외선용 펠리클의 제조방법
CN108699687B (zh) * 2016-02-19 2022-03-01 爱沃特株式会社 化合物半导体基板、表膜、和化合物半导体基板的制造方法
JP6753703B2 (ja) * 2016-02-19 2020-09-09 エア・ウォーター株式会社 化合物半導体基板、ペリクル膜、および化合物半導体基板の製造方法
EP3418424A4 (en) * 2016-02-19 2019-03-27 Air Water Inc. COMPOSITE SUBSTRATE, PELLETIC LAYER AND METHOD FOR PRODUCING A COMPOSITE SUBSTRATE SUBSTRATE
JP6825923B2 (ja) * 2017-01-20 2021-02-03 エア・ウォーター株式会社 化合物半導体基板、ペリクル膜、および化合物半導体基板の製造方法
FR3048689A1 (fr) * 2016-03-10 2017-09-15 Commissariat Energie Atomique Membrane en carbone amorphe et mems comportant une telle membrane
JP7009380B2 (ja) * 2016-04-25 2022-01-25 エーエスエムエル ネザーランズ ビー.ブイ. Euvリソグラフィ用のメンブレン
CN116594257A (zh) 2016-07-05 2023-08-15 三井化学株式会社 防护膜及其组件和组件框体、组件制造方法、曝光原版、曝光装置、半导体装置的制造方法
JP6944768B2 (ja) 2016-08-29 2021-10-06 エア・ウォーター株式会社 ペリクルの製造方法
KR101848153B1 (ko) 2016-09-12 2018-05-29 한양대학교 산학협력단 마스크 보호 모듈, 이를 포함하는 펠리클, 및 이를 포함하는 리소그래피 장치
KR102649129B1 (ko) * 2016-11-16 2024-03-19 삼성전자주식회사 반도체 장치의 제조 방법
KR101813186B1 (ko) 2016-11-30 2017-12-28 삼성전자주식회사 포토마스크용 펠리클과 이를 포함하는 레티클 및 리소그래피용 노광 장치
CN106521414B (zh) * 2016-12-13 2019-07-16 中国建筑材料科学研究总院 超硬类金刚石增透膜、具有增透膜的红外材料及其制备方法和应用
WO2018151056A1 (ja) * 2017-02-17 2018-08-23 三井化学株式会社 ペリクル、露光原版、露光装置、及び半導体装置の製造方法
JP6978210B2 (ja) * 2017-03-02 2021-12-08 旭化成株式会社 ペリクル膜、及びペリクル膜の製造方法
JP6518801B2 (ja) 2017-03-10 2019-05-22 エスアンドエス テック カンパニー リミテッド 極紫外線リソグラフィ用ペリクル及びその製造方法
KR102330943B1 (ko) 2017-03-10 2021-11-25 삼성전자주식회사 포토마스크용 펠리클과 이를 포함하는 레티클 및 리소그래피용 노광 장치
US10101651B1 (en) * 2017-04-13 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. Photo mask assembly and optical apparatus including the same
CN117524848A (zh) * 2017-06-08 2024-02-06 应用材料公司 用于硬掩模及其他图案化应用的高密度低温碳膜
CN110809736B (zh) 2017-06-15 2023-10-24 Asml荷兰有限公司 表膜和表膜组件
JP6844443B2 (ja) * 2017-06-23 2021-03-17 信越化学工業株式会社 フォトリソグラフィ用ペリクル膜、ペリクル及びフォトマスク、露光方法並びに半導体デバイス又は液晶ディスプレイの製造方法
KR102532602B1 (ko) * 2017-07-27 2023-05-15 삼성전자주식회사 포토마스크용 펠리클 조성물, 이로부터 형성된 포토마스크용 펠리클, 그 제조방법, 펠리클을 함유한 레티클 및 레티클을 포함하는 리소그래피용 노광장치
US10996556B2 (en) 2017-07-31 2021-05-04 Samsung Electronics Co., Ltd. Pellicles for photomasks, reticles including the photomasks, and methods of manufacturing the pellicles
JP6787851B2 (ja) * 2017-08-08 2020-11-18 エア・ウォーター株式会社 ペリクルおよびペリクルの製造方法
KR102499455B1 (ko) * 2017-08-08 2023-02-13 수프리야 자이스왈 리소그래피 및 기타 응용에서 극자외 방사선과 함께 사용하기 위한 재료, 부품 및 방법
KR101900720B1 (ko) * 2017-11-10 2018-09-20 주식회사 에스앤에스텍 극자외선 리소그래피용 펠리클 및 그의 제조방법
US10727059B2 (en) 2017-12-01 2020-07-28 Applied Materials, Inc. Highly etch selective amorphous carbon film
KR102574161B1 (ko) * 2018-02-06 2023-09-06 삼성전자주식회사 펠리클 및 이를 포함하는 레티클
EP3761505A4 (en) 2018-03-01 2021-12-01 Kaneka Corporation MEMS VIBRATOR AND MEMS OSCILLATOR
WO2019172170A1 (ja) * 2018-03-09 2019-09-12 株式会社カネカ グラファイト薄膜を含むペリクル
JP2021076620A (ja) * 2018-03-14 2021-05-20 株式会社カネカ 炭素質膜を含むペリクル及び炭素質膜を含むペリクルの製造方法
JP7019472B2 (ja) * 2018-03-22 2022-02-15 三井化学株式会社 カーボンナノチューブ自立膜の製造方法、およびペリクルの製造方法
CN108802078B (zh) * 2018-04-26 2020-03-10 四川大学 一种真空靶室中大面积靶卢瑟福背散射分析的方法
KR102099872B1 (ko) * 2018-05-25 2020-05-28 주식회사 에프에스티 펠리클용 벤트 필터 및 이를 포함하는 펠리클
KR102634748B1 (ko) 2018-06-15 2024-02-13 삼성전자주식회사 포토 마스크용 펠리클 및 이의 제조 방법
WO2020008976A1 (ja) * 2018-07-06 2020-01-09 株式会社カネカ ペリクル複合体及びその製造方法
KR20200059061A (ko) 2018-11-20 2020-05-28 삼성전자주식회사 극자외선 리소그래피용 펠리클 및 그 제조방법
JP2022511904A (ja) 2018-12-20 2022-02-01 エーエスエムエル ネザーランズ ビー.ブイ. メンブレンアセンブリを製造する方法
JP6807419B2 (ja) * 2019-02-20 2021-01-06 信越化学工業株式会社 Euv用ペリクル
JP7319059B2 (ja) 2019-02-25 2023-08-01 エア・ウォーター株式会社 ペリクル中間体の製造方法およびペリクルの製造方法
JP2020160345A (ja) * 2019-03-27 2020-10-01 三井化学株式会社 ペリクル自立膜の製造方法、ペリクルの製造方法、および半導体装置の製造方法
KR20210004544A (ko) 2019-07-05 2021-01-13 삼성전자주식회사 극자외선 리소그래피 장치
CN113924628A (zh) * 2019-07-18 2022-01-11 株式会社岛津制作所 分光元件
KR102301568B1 (ko) * 2019-09-10 2021-09-14 주식회사 에프에스티 탄화규소 층을 포함하는 극자외선용 펠리클의 제조방법
CN215416267U (zh) * 2020-01-20 2022-01-04 信越化学工业株式会社 防护薄膜框架及其组件、曝光原版、曝光***及制造***
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
KR20220017137A (ko) * 2020-08-04 2022-02-11 주식회사 에스앤에스텍 극자외선 리소그래피용 펠리클 및 그 제조방법
KR102581086B1 (ko) * 2021-03-16 2023-09-21 주식회사 에프에스티 극자외선 리소그라피용 펠리클 막
KR20220142571A (ko) * 2021-04-14 2022-10-24 한국전자기술연구원 극자외선 노광용 펠리클
WO2023085761A1 (ko) * 2021-11-12 2023-05-19 주식회사 에프에스티 다성분계 실리콘 화합물 층을 포함하는 극자외선 리소그래피용 펠리클 막

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100257294B1 (ko) * 1996-09-06 2000-06-01 포만 제프리 엘 엑스선 마스크 보호용 엑스선 마스크 펠리클
JP2000284468A (ja) * 1999-03-31 2000-10-13 Canon Inc マスク構造体、該マスク構造体を用いた露光方法および露光装置、該マスク構造体を用いて作製された半導体デバイス、ならびに半導体デバイス製造方法
US20080152873A1 (en) 2006-12-26 2008-06-26 Advanced Micro Devices, Inc. EUV pellicle and method for fabricating semiconductor dies using same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4932331A (en) 1987-10-16 1990-06-12 Canon Kabushiki Kaisha Novel single-bond carbon film and process for the production thereof
JPH09306820A (ja) * 1996-05-17 1997-11-28 Canon Inc X線マスク構造体とその製造方法、x線露光方法およびx線露光装置、並びに半導体デバイスとその製造方法
US5809103A (en) * 1996-12-20 1998-09-15 Massachusetts Institute Of Technology X-ray lithography masking
JP2000012428A (ja) * 1998-06-19 2000-01-14 Canon Inc X線マスク構造体、該x線マスク構造体を用いたx線露光方法、前記x線マスク構造体を用いたx線露光装置、前記x線マスク構造体を用いた半導体デバイスの製造方法、および該製造方法によって製造された半導体デバイス
US6180292B1 (en) * 1999-06-18 2001-01-30 International Business Machines Corporation Structure and manufacture of X-ray mask pellicle with washer-shaped member
JP2004085713A (ja) 2002-08-23 2004-03-18 Asahi Glass Co Ltd ペリクル
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
JP2006036611A (ja) * 2004-07-29 2006-02-09 Sumitomo Electric Ind Ltd 水素含有炭素膜
US7666555B2 (en) * 2006-12-29 2010-02-23 Intel Corporation Pellicle, methods of fabrication and methods of use for extreme ultraviolet lithography
US7416820B2 (en) * 2007-01-31 2008-08-26 International Business Machines Corporation Pellicle film optimized for immersion lithography systems with NA>1
JP4861963B2 (ja) 2007-10-18 2012-01-25 信越化学工業株式会社 ペリクルおよびペリクルの製造方法
WO2010050518A1 (ja) 2008-10-30 2010-05-06 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
JP5394808B2 (ja) * 2009-04-22 2014-01-22 信越化学工業株式会社 リソグラフィ用ペリクルおよびその製造方法
JP5189614B2 (ja) * 2010-03-29 2013-04-24 信越化学工業株式会社 ペリクル及びその取り付け方法、並びにペリクル付マスク及びマスク
WO2011125407A1 (ja) * 2010-04-02 2011-10-13 信越化学工業株式会社 フォトマスクユニット及びその製造方法
KR101968675B1 (ko) 2010-06-25 2019-04-12 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 방법
JP2012151158A (ja) * 2011-01-17 2012-08-09 Shin Etsu Chem Co Ltd Euv用ペリクル膜及びペリクル、並びに該膜の製造方法
WO2014142125A1 (ja) * 2013-03-15 2014-09-18 旭化成イーマテリアルズ株式会社 ペリクル膜及びペリクル

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100257294B1 (ko) * 1996-09-06 2000-06-01 포만 제프리 엘 엑스선 마스크 보호용 엑스선 마스크 펠리클
JP2000284468A (ja) * 1999-03-31 2000-10-13 Canon Inc マスク構造体、該マスク構造体を用いた露光方法および露光装置、該マスク構造体を用いて作製された半導体デバイス、ならびに半導体デバイス製造方法
US20080152873A1 (en) 2006-12-26 2008-06-26 Advanced Micro Devices, Inc. EUV pellicle and method for fabricating semiconductor dies using same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220098614A (ko) 2021-01-04 2022-07-12 한국표준과학연구원 오염 입자 차단부와 이를 포함하는 극자외선 노광 장치
KR20220098627A (ko) 2021-01-04 2022-07-12 한국표준과학연구원 오염 입자 포집부와 이를 포함하는 극자외선 노광 장치

Also Published As

Publication number Publication date
KR20150145256A (ko) 2015-12-29
CN105229776B (zh) 2019-05-03
US20160147141A1 (en) 2016-05-26
EP3007206A1 (en) 2016-04-13
TWI661263B (zh) 2019-06-01
TW201502696A (zh) 2015-01-16
EP3007206A4 (en) 2017-03-15
CN105229776A (zh) 2016-01-06
JPWO2014188710A1 (ja) 2017-02-23
US9703187B2 (en) 2017-07-11
JP6364404B2 (ja) 2018-07-25
WO2014188710A1 (ja) 2014-11-27

Similar Documents

Publication Publication Date Title
KR101707763B1 (ko) 펠리클 및 이것을 포함하는 euv 노광 장치
EP3133442B1 (en) Pellicle film, pellicle, exposure master, exposure device, and method for manufacturing semiconductor device
JP7478778B2 (ja) Euvリソグラフィ用のメンブレン
KR101552940B1 (ko) 흑연-함유 박막을 포함하는 극자외선 리소그래피용 펠리클 막
KR102040720B1 (ko) 리소그래피 장치
CN116594258A (zh) 防护膜及其组件和组件框体、组件制造方法、曝光原版、曝光装置、半导体装置的制造方法
JP2018519547A (ja) 膜アセンブリを製造するための方法
JP6810741B2 (ja) 放射システムおよび光デバイス
WO2021172104A1 (ja) ペリクル膜、ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
WO2022030499A1 (ja) ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
CN115735160A (zh) 防护膜组件、曝光原版、曝光装置、防护膜组件的制造方法和半导体装置的制造方法
Gao et al. Extreme ultraviolet radiation induced defects in single-layer graphene

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant