KR101455478B1 - 반도체 디바이스의 접촉 구조 - Google Patents

반도체 디바이스의 접촉 구조 Download PDF

Info

Publication number
KR101455478B1
KR101455478B1 KR1020120155062A KR20120155062A KR101455478B1 KR 101455478 B1 KR101455478 B1 KR 101455478B1 KR 1020120155062 A KR1020120155062 A KR 1020120155062A KR 20120155062 A KR20120155062 A KR 20120155062A KR 101455478 B1 KR101455478 B1 KR 101455478B1
Authority
KR
South Korea
Prior art keywords
layer
metal layer
dielectric layer
semiconductor device
substrate
Prior art date
Application number
KR1020120155062A
Other languages
English (en)
Other versions
KR20140041306A (ko
Inventor
승리 왕
딩캉 시
친샹 린
세이핑 선
크레먼트 싱젠 완
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20140041306A publication Critical patent/KR20140041306A/ko
Application granted granted Critical
Publication of KR101455478B1 publication Critical patent/KR101455478B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 반도체 디바이스의 접촉 구조에 관한 것이다. 반도체 디바이스를 위한 접촉 구조에 대한 예시적인 구조는 주면 및 상기 주면 아래의 트렌치를 포함하는 기판; 상기 트렌치를 충진하는 변형 물질로서, 상기 변형 물질의 격자 상수는 상기 기판의 격자 상수와 상이한 것인, 상기 변형 물질; 상기 변형 물질 위의 개구부를 갖는 층간 유전체(ILD)층으로서, 상기 개구부는 절연체 측벽 및 변형 물질 저부를 포함하는 것인, 층간 유전체층; 상기 개구부의 측벽 및 저부를 코팅하고, 1 nm 내지 10 nm의 범위 내의 두께를 갖는 유전체층; 및 상기 유전체층의 코팅된 개구부를 충진하는 금속층를 포함한다.

Description

반도체 디바이스의 접촉 구조{CONTACT STRUCTURE OF SEMICONDUCTOR DEVICE}
관련 출원
본 출원은 이하의 동시계류중인(co-pending) 일반적으로 할당된 그 명칭이 Contact Structure of Semiconductor Device,”(Atty Docket No. TSM12-0787)인 특허 출원에 관한 것이고, 상기 출원은 참조에 의해 여기에 통합된다
기술분야
본 발명은 집적 회로 제조에 관한 것이고, 보다 구체적으로 접촉 구조를 갖는 반도체 디바이스에 관한 것이다.
반도체 산업이 더 높은 디바이스 밀도, 더 높은 성능, 및 더 낮은 비용을 위해서 나노미터 기술 공정 노드로 진행함에 따라 핀 전계 효과 트랜지스터(fin field effect transistor; FinFET) 등의 반도체 디바이스의 3차원 설계의 개발에서 제조 및 설계 모두로부터의 도전 과제가 발생되었다. 전형적인 FinFET은 예를 들어 기판의 실리콘층의 일부를 에칭함으로써 형성된 기판으로부터 연장하는 얇은 수직 "핀(fin)"(또는 핀 구조)와 함께 제조된다. FinFET의 채널은 이러한 수직 핀 내에 형성된다. 게이트는 핀의 3개의 측면(예를 들면, 래핑) 위에 제공된다. 채널의 양측 상에 게이트를 갖는 것은 양측으로부터 채널의 게이트 제어를 허용한다. FinFET의 추가의 이점은 짧은 채널 효과 및 더 높은 전류 흐름의 감소를 포함한다.
그러나, 상보성 금속 산화물 반도체(complementary metal-oxide-semiconductor; CMOS) 제조에서는 그러한 피쳐 및 공정을 구현하기 위한 도전 과제가 있다. 예를 들면, 변형 물질(strained material) 상의 실리사이드 형성은 FinFET의 영역의 소스/드레인의 높은 접촉 저항을 발생시킴으로써 디바이스의 성능을 저하시킨다.
실시예에 따르면, 반도체 디바이스 접촉 구조는 주면(major surface) 및 상기 주면 아래의 트렌치를 포함하는 기판; 상기 트렌치를 충진하는 변형 물질(strained material)로서, 상기 변형 물질의 격자 상수는 상기 기판의 격자 상수와 상이한 것인, 상기 변형 물질; 상기 변형 물질 위의 개구부를 갖는 층간 유전체(inter-layer dielectric; ILD)층으로서, 상기 개구부는 절연체 측벽 및 변형 물질 저부(bottom)를 포함하는 것인, 층간 유전체층; 상기 개구부의 측벽 및 저부를 코팅하고, 1 nm 내지 10 nm의 범위 내의 두께를 갖는 유전체층; 및 상기 유전체층의 코팅된 개구부를 충진하는 금속층을 포함한다.
또 다른 실시예에 따르면, 금속 산화물 반도체 전계 효과 트랜지스터(metal oxide semiconductor field effect transistor; MOSFET)는 주면을 포함하는 기판; 상기 기판의 상기 주면 상의 게이트 스택; 상기 게이트 스택에 인접한 상기 주면 아래의 트렌치; 상기 게이트 스택에 대향하는 트렌치의 측면에 배치되고, 상기 기판 내에 있는 얇은 트렌치 절연 영역(shallow trench isolation; STI); 및 접촉 구조를 포함하고, 상기 접촉 구조는 상기 트렌치를 충진하는 변형 물질로서, 상기 변형 물질의 격자 상수는 상기 기판의 격자 상수와 상이한 것인, 상기 변형 물질; 상기 변형 물질 위의 개구부를 갖는 층간 유전체(inter-layer dielectric; ILD)층으로서, 상기 개구부는 절연체 측벽 및 변형 물질 저부를 포함하는 것인, 층간 유전체층; 상기 개구부의 측벽 및 저부를 코팅하고, 1 nm 내지 10 nm의 범위 내의 두께를 갖는 유전체층; 및 상기 유전체층의 코팅된 개구부를 충진하는 금속층을 포함한다.
또 다른 실시예에 따르면, 반도체 디바이스를 제조하는 방법은 주면 및 상기 주면 아래의 트렌치를 포함한 기판을 제공하는 단계; 상기 트렌치 내에 변형 물질(strained material)을 에피-성장시키는 단계로서, 상기 변형 물질의 격자 상수는 상기 기판의 격자 상수와 상이한 것인, 상기 에피-성장시키는 단계; 상기 변형 물질 위에 층간 유전체(inter-layer dielectric; ILD)층을 형성하는 단계; 상기 변형 물질의 일부를 노출하도록 상기 ILD층 내에 개구부를 형성하는 단계; 상기 개구부의 내부를 코팅하고 상기 ILD층 위로 연장하는 제 1 금속층을 형성하는 단계; 상기 변형 물질 위에 유전체층을 형성하도록 상기 제 1 금속층을 처리하는(treating) 단계; 및 상기 유전체층의 코팅된 개구부 내에 제 2 금속층을 형성하는 단계를 포함한다.
본 개시는 첨부 도면과 함께 판독될 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 산업상 표준 시행에 따라 여러 도면은 일정한 비율로 그려지지 않았으며, 단지 예시의 목적으로만 사용됨이 강조된다. 실제, 다양한 특징의 치수는 논의의 명료함을 위해 임의로 증감될 수 있다.
도 1은 본 개시의 다양한 양상에 따른 반도체 디바이스의 접촉 구조 제조 방법을 예시하는 흐름도이다;
도 2 내지 도 12는 본 개시의 각종 양상에 따라 제작의 각종 단계에서 접촉 구조를 구성하는 반도체 장치의 개략적이 단면도이다.
이하의 개시는 본 개시의 상이한 피쳐들을 구현하기 위한 다수의 상이한 실시예 또는 예를 제공한다고 이해된다. 본 개시를 단순화하기 위해서 컴포넌트 및 배치의 구체적인 예들이 이하에 설명된다. 물론, 이들은 단지 예시를 위한 것이며 한정을 의도하는 것은 아니다. 예를 들면, 다음의 설명에서 제 2 피쳐 상부 또는 위에 제 1 피쳐를 형성하는 것은 제 1 피쳐와 제 2 피쳐가 직접 접촉하여 형성된 실시예를 포함할 수 있고, 또한 제 1 피쳐와 제 2 피쳐가 직접 접촉하지 않도록 제 1 피쳐와 제 2 피쳐 사이에 추가의 피쳐가 형성될 수 있는 실시예도 포함할 수 있다. 추가로, 본 개시는 다양한 예들에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순 명료함을 위한 것으로서 그 자체가 논의된 다양한 실시예 및/또는 구성 사이의 관계를 영향을 주지 않는다.
도 1를 참조하면, 본 개시의 다양한 양상에 따른 반도체 디바이스의 접촉 구조를 제조하는 방법(100)의 흐름도를 예시한다. 방법(100)은 주면(major surface), 및 주면 아래의 트렌치를 포함하는 기판이 제공되는 단계(102)로 시작한다. 방법(100)은 변형 물질이 트렌치에서 에피(epi)-성장되는 단계(104)로 계속되고, 변형 물질의 격자 상수는 기판의 격자 상수와 상이하다. 방법(100)은 층간 유전체(inter-layer dielectric; ILD)층이 변형 물질 위에 형성되는 단계(106)로 계속한다. 방법(100)은 변형 물질의 일부를 노출하도록 ILD층 내에 개구부가 형성되는 단계(108)로 계속한다. 방법(100)은 제 1 금속층이 개구부의 내부를 코팅하고 ILD층 위로 연장되는 단계 110로 계속한다. 방법(100)은 제 1 금속층이 개구부의 내부를 코팅하고 ILD층 위로 연장되도록 형성되는 단계(110)로 계속한다. 방법(100)은 제 1 금속층이 변형 물질 위에 유전체층을 형성하도록 처리되는 단계(112)로 계속한다. 방법(100)은 제 2 금속층이 유전체층의 코팅된 개구부 내에 형성되는 단계(114)로 계속한다. 이하의 논의는 도 1의 방법(100)에 따라 제조될 수 있는 반도체 디바이스의 실시예를 예시한다.
도 2 내지 도 12는 본 개시의 다양한 양상에 따른 제작의 각종 단계에서 접촉 구조(230)를 포함한 반도체 장치(200)의 개략적인 단면도이다. 본 개시에서 채용된 바와 같은, 반도체 디바이스(200)란 용어는 핀 전계 효과 트랜지스터(FinFET)를 말한다. FinFET은 어떤 핀 기반의 다중 게이트 트랜지스터를 말한다. 일부 대안의 실시형태에서 반도체 디바이스(200)란 용어는 평면 금속 산화물 반도체 전계 효과 트랜지스터(metal-oxide-semiconductor field effect transistor; MOSFET)를 말한다. 다른 트랜지스터 구조 및 유사 구조는 본 개시의 고려 범위 내에 있다. 반도체 디바이스(200)는 마이크로프로세서, 메모리 셀, 및/또는 다른 집적 회로(integrated circuit; IC) 내에 포함될 수 있다.
일부 실시예에 있어서, 도 1에서 언급된 동작의 수행은 완전한 반도체 디바이스(200)를 생산하지 않는다는 것이 주목된다. 완전한 반도체 디바이스(200)는 예를 들어 상보성 금속-산화물-반도체(CMOS) 기술 프로세싱을 이용하여 제조될 수 있다. 따라서, 도 1의 방법(100)의 이전, 동안, 및/또는 이후에 추가의 공정이 제공될 수 있고, 일부 다른 공정은 여기서 간략히만 설명될 수 있다는 것이 이해된다. 또한, 도 2 내지 도 12는 본 개시의 개념을 더 잘 이해하기 위해서 간략화되었다. 예를 들어, 도면은 반도체 디바이스(200)를 예시하지만, IC는 저항기, 캐패시터, 인덕터, 퓨즈 등을 포함한 다수의 다른 디바이스를 포함할 수 있다는 것이 이해된다.
도 2와 도 1에서 단계(102)를 참조하면, 주면(20s)을 포함하는 기판(20)이 제공된다. 적어도 하나의 실시예에 있어서, 기판(20)은 결정질 실리콘 기판(예를 들어 웨이퍼)을 포함한다. 기판(20)은 설계 요건에 따라 다양하게 도핑된 영역(예를 들어, p형 기판 또는 n형 기판)을 포함할 수 있다. 일부 실시예에 있어서, 도핑된 영역은 p형 또는 n형 도판트로 도핑될 수 있다. 예를 들어, 도핑된 영역은 붕소 또는 BF2와 같은 p형 도판트; 인 또는 비소와 같은 n형 도판트; 및/또는 그 조합으로 도핑될 수 있다. 도핑된 영역은 n형 FinFET 또는 평면 MOSFET을 위해 형성되거나, 대안적으로 p형 FinFET 또는 평면 MOSFET을 위해 구성될 수 있다.
대안적으로, 기판(20)은 다이아몬드 또는 게르마늄과 같은 어떤 다른 적합한 원소의 반도체; 갈륨 비소화물, 실리콘 카바이드, 인듐 비소화물, 또는 인듐 인화물과 같은 적합한 합성 반도체; 또는 실리콘 게르마늄 카바이드, 갈륨 비소 인화물, 또는 갈륨 인듐 인화물과 같은 적합한 합금 반도체로 이루어질 수 있다. 또한, 기판(20)은 에피택셜층(에피층)을 포함할 수 있고, 성능 향상을 위해 변형될 수 있으며, 및/또는 실리콘-온-인슐레이터(silicon-on-insulator; SOI) 구조를 포함할 수 있다.
설명된 실시예에 있어서, 기판(20)은 핀 구조(202)에 더 포함한다. 기판(20)에 형성된 핀 구조(202)는 하나 이상의 핀을 포함한다. 본 실시예에서는 간단함을 위해 핀 구조(202)는 단일 핀을 포함한다. 핀은 어떤 적합한 물질을 포함하고, 예를 들어 핀은 실리콘, 게르마늄 또는 합성 반도체를 포함할 수 있다. 핀 구조(202)는 핀에 배치된 캡핑층(도시되지 않음)을 더 형성할 수 있고, 실리콘 캡핑층일 수 있다.
핀 구조(202)는 다양한 증착, 포토리소그라피 및/또는 에칭 공정을 포함한 어떤 적합한 공정을 이용하여 형성된다. 예시적인 포토리소그라피 공정은 기판(20) 위에 놓인(예를 들면, 실리콘층 상의) 포토레지스트층(레지스트)을 형성하는 것, 패턴에 레지스트를 노출하는 것, 노광후 베이크(post-exposure bake) 공정을 수행하는 것, 및 레지스트를 포함한 마스킹 엘리먼트를 형성하기 위해 레지스트를 개발하는 것을 포함할 수 있다. 그 다음에, 실리콘층은 반응성 이온 에칭(reactive ion etch; RIE) 공정 및/또는 다른 적합한 공정을 사용하여 에칭될 수 있다. 예를 들어 핀 구조(202)의 실리콘 핀은 실리콘 기판(20)의 부분의 패터닝 및 에칭을 이용하여 형성될 수 있다. 또 다른 실시예에 있어서, 핀 구조(202)의 실리콘 핀은 절연층(예를 들어, SOI 기판의 실리콘-절연체-실리콘 스택의 상위 실리콘층) 위에 증착된 실리콘층의 패터닝 및 에칭을 이용하여 형성될 수 있다. 또 다른 실시예에 있어서, 핀 구조는 기판 위에 유전체층을 형성하고, 유전체층에서 트렌치를 개방하고, 핀을 형성하기 위해 트렌치 내에 기판으로부터 핀을 에피택셜 성장함으로써 형성된다.
개시된 실시예에 있어서, 분리 영역은 핀 구조(202)의 다양한 핀을 규정하고 전기적으로 분리하기 위해 기판(20) 내에 형성된다. 일례에서 분리 영역은 얇은 트렌치 분리(shallow trench isolation; STI) 영역(204)(204a와 204b 포함)을 포함한다. 분리 영역은 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 불화물-도핑 실리케이트 글래스(fluoride-doped silicate glass; FSG), 로우-k 유전체 물질, 및/또는 그 조합을 포함할 수 있다. 절연 영역은 개시된 실시예에 있어서, STI 영역(204)는 어떤 적합한 공정에 의해 형성될 수도 있다. 일례로서, STI 영역(204)의 형성은 (예를 들어 화학 기상 증착 공정을 사용하여) 핀 사이의 트렌치를 유전체 물질로 충진하는 것을 포함할 수 있다. 일부 실시예에 있어서, 충진된 트렌치는 실리콘 질화물 또는 실리콘 산화물로 충진된 열 산화물 라이너층와 같은 다층 구조를 가질 수 있다.
여전히 도 2를 참조하면, 게이트 스택(210)은 STI 영역(204) 사이에서 기판(20)의 주면(20s)[즉, 핀 구조(202)의 상면] 상에 형성된다. 게이트 스택(210)이 핀의 상면에만 연장하는 평면에서 도면에 도시되었지만, 당업자는 디바이스의 다른 평면에서(도시되지 않음) 게이트 스택(210)은 핀 구조(202)의 측벽을 따라 연장한다고 인지할 것이다. 일부 실시예에 있어서 게이트 스택(210)은 게이트 유전체층(212), 및 게이트 유전체층(212) 위의 게이트 전극층(214)을 포함한다. 일부 실시예에 있어서, 한 쌍의 측면 스페이서(216)가 게이트 스택(210)의 양측에 형성된다. 개시된 실시예에 있어서, 게이트 스택(210)은 여기에 설명된 공정을 포함하여 어떤 적합한 공정를 사용하여 형성될 수 있다.
일례에 있어서, 게이트 유전체층(212) 및 게이트 전극층(214)은 기판(20) 위에 순차적으로 증착된다. 일부 실시예에 있어서, 게이트 유전체층(212)은 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 또는 높은 유전 상수(하이-k) 유전체를 포함할 수 있다. 하이-k 유전체는 금속 산화물을 포함한다. 하이-k 유전체에 사용된 금속 산화물의 예로는 Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu 및 그 혼합물의 산화물을 포함한다. 본 실시예에 있어서, 게이트 유전체층(212)은 약 10 옹스트롬 내지 약 30 옹스트롬의 범위 내의 두께를 갖는 하이-k 유전체층이다. 게이트 유전체층(212)은 원자층 증착(atomic layer deposition; ALD), 화학 기상 증착(chemical vapor deposition; CVD), 물리 기상 증착(physical vapor deposition; PVD), 열 산화, UV-오존 산화 또는 그들 조합과 같은 적합한 공정을 사용하여 형성될 수 있다. 게이트 유전체층(212)은 게이트 유전체층(212) 및 핀 구조(202) 사이의 손상을 감소하기 위해 계면층(도시되지 않음)을 더 포함할 수 있다. 계면층은 실리콘 산화물을 포함할 수 있다.
일부 실시예에 있어서, 게이트 전극층(214)은 단층 또는 다층 구조를 포함할 수 있다. 적어도 하나의 실시예에 있어서, 게이트 전극층(214)은 폴리실리콘을 포함한다. 또한, 게이트 전극층(214)은 균일한 또는 비균일한 도핑으로 폴리실리콘을 도핑할 수 있다. 대안의 실시예에 있어서, 게이트 전극층(214)은 W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, 및 Zr의 그룹으로부터 선택된 금속을 포함한다. 대안의 실시예에 있어서, 게이트 전극층(214)은 TiN, WN, TaN, 및 Ru의 그룹으로부터 선택된 금속을 포함한다. 본 실시예에 있어서, 게이트 전극층(214)은 약 60 나노미터 내지 약 30 나노미터의 범위 내의 두께를 포함한다. 게이트 전극층(214)은 ALD, CVD, PVD, 도금 또는 그들의 조합과 같은 적합한 공정을 사용하여 형성될 수 있다.
그 다음에, 포토레지스트층(도시되지 않음)이 스핀-온 코팅과 같은 적합한 공정에 의해 게이트 전극층(214) 위에 형성되고, 적당한 리소그래피 패터닝 방법에 의해 패터닝되어 패턴팅된 포토레지스트 피쳐를 형성한다. 적어도 하나의 실시예에 있어서, 패터닝된 포토레지스트 피쳐의 폭은 약 5 nm 내지 약 45 nm의 범위 내에 있다. 패터닝된 포토레지스트 피쳐는 게이트 스택(210)을 형성하기 위해 아래 놓인 층[즉, 게이트 전극층(214) 및 게이트 유전체층(212)]에 건식 에칭 공정을 사용하여 전사될 수 있다. 포토레지스트층은 이후에 박리될 수 있다.
여전히 도 2를 참조하면, 반도체 디바이스(200)는 게이트 스택(210) 및 기판(20)위에 형성되고 게이트 스택(210)의 측벽을 커버하는 유전체층을 더 포함한다. 유전체층은 실리콘 산화물, 실리콘 질화물 또는 실리콘 산화질화물을 포함할 수 있다. 유전체층은 단층 또는 다층 구조를 포함할 수 있다. 유전체층은 CVD, PVD, ALD 또는 다른 적합한 기술에 의해 형성될 수 있다. 유전체층은 약 5 nm 내지 약 15 nm의 범위 내의 두께를 포함한다. 그 다음에, 이방성 에칭이 게이트 스택(210)의 2개의 측면에 한쌍의 측벽 스페이서(216)를 형성하기 위해 유전체층에 수행된다.
도 3 및 도 1의 단계(102)를 참조하면, 핀 구조(202)의 부분들[그 위에 게이트 스택(210) 및 한 쌍의 측벽 스페이서(216)가 형성되는 부분 이외]은 게이트 스택(210)에 인접한 기판(20)의 주면(20s) 아래의 소스 및 드레인(S/D) 트렌치(206)(206a 및 206b를 포함함)를 형성하기 위해 리세스된다. 개시된 실시예에 있어서, S/D 트렌치(206) 각각은 게이트 스택(210)과 STI 영역(204) 중 하나의 사이에 있다. 이와 같이, S/D 트렌치(206a)는 게이트 스택 (206)에 인접하여 있는 반면, STI 영역(204a)은 게이트 스택(210)에 대향하는 S/D 트렌치(206a)의 측면에 배치된다. 이와 같이, S/D 트렌치(206b)는 게이트 스택(210)에 인접하여 있는 반면, STI 영역(204b)은 게이트 스택(210)에 대향하는 S/D 트렌치(206b)의 측면에 배치된다.
개시된 실시예에 있어서, 하드 마스크로서 게이트 스택(210)과 한 쌍의 측벽 스페이서(216)를 사용하여 S/D 트렌치(206)을 형성하도록 비보호되거나 노출된 기판(20)의 주면(20s)을 리세스하기 위해 바이어스된 에칭 공정이 수행된다. 하나의 실시예에 있어서, 에칭 공정은 약 1 mTorr 내지 약 1000 mTorr의 압력, 약 50 W 내지 약 1000 W의 전력, 약 20 V 내지 약 500 V의 바이어스 전압 하에 약 40 ℃ 내지 약 60 ℃의 온도에서 에칭 가스로 HBr 및/또는 Cl2를 사용하여 수행될 수 있다. 또한, 제공된 실시예에 있어서, 에칭 공정에서 사용된 바이어스 전압은 S/D 트렌치(206)를 위한 원하는 프로파일을 달성하기 위해 에칭 방향을 더 잘 제어할 수 있도록 조정될 수 있다.
도 4 및 도 1의 단계 104에 예시된 바와 같이, 기판(20)의 주면(20s) 아래의 S/D 트렌치(206)의 형성 이후에, 도 4의 구조는 S/D 트렌치(206)에서 변형 물질(208)을 에피 성장시킴으로써 생성되고, 여기서 변형 물질(208)의 격자 상수는 기판(20)의 격자 상수와 상이하다. 그러므로, 반도체 디바이스(200)의 채널 영역은 변형되거나 응력이 가해져 디바이스의 캐리어 이동성을 향상시킨다.
일부 실시예에 있어서, 변형 물질(208)은 Si, Ge, SiGe, SiC, SiP, 또는 III-V 반도체 물질을 포함한다. 개시된 실시예에 있어서, 사전 세정(pre-cleaning) 공정이 HF 또는 다른 적합한 솔루션으로 S/D 트렌치(206)을 세정하기 위해 수행될 수 있다. 그 다음에, 실리콘 게르마늄(SiGe)과 같은 변형 물질(208)은 S/D 트렌치(206)를 충진하기 위한 저압 CVD(LPCVD) 공정에 의해 선택적으로 성장된다. 하나의 실시예에 있어서, 변형 물질(208)의 상면은 주면(20s)(도시되지 않음)보다 낮다. 또 다른 실시예에 있어서, S/D 트렌치(206)를 충진한 변형 물질(208)은 주면(20s) 위에서 상향하여 연장된다. 개시된 실시예에 있어서, LPCVD 공정은 약 400 ℃ 내지 약 800 ℃의 온도에서 약 1 Torr 내지 약 15 Torr의 압력 하에 반응 가스로서 SiH2Cl2, HCl, GeH4, B2H6, 및 H2를 사용하여 수행한다.
이 시점까지의 공정 단계는 S/D 트렌치(206) 내에 변형 물질(208)을 갖는 기판(20)을 제공하고 있다. 일부 어플리케이션에 있어서, 변형 물질 (208)위의 실리사이드 영역은 니켈, 티타늄, 코발트 및 그 조합과 같은 금속 물질의 얇은 층을 증착하는 블랑켓으로 형성될 수 있다. 그 다음에, 기판(20)은 가열되어 실리콘이 접촉되는 금속에 반응하도록 한다. 반응 후에 금속 실리사이드의 층은 실리콘 함유 물질과 금속 사이에 형성된다. 비반응 금속은 금속 물질을 공격하지만 실리사이드는 공격하지 않는 에쳔트를 통하여 선택적으로 제거된다. 그러나, 금속 실리사이드와 변형 물질(208) 사이의 페르미 레벨 핀닝(Fermi level pinning)은 고정된 쇼트키 장벽 높이(Schottky barrier height; SBH)을 야기한다. 이 고정된 SBH는 반도체 디바이스의 S/D 영역의 높은 접촉 저항을 발생시켜 장치의 성능을 저하시킨다.
따라서, 도 5 내지 도 12를 참조하여 아래에 설명된 프로세싱은 실리사이드 영역을 대체하기위해 전도성 유전체층을 포함하는 접촉 구조를 형성할 수 있다. 전도성 유전체 층은 높은 저항 금속 실리사이드를 대체하기 위해 낮은 저항 중간층으로서 사용할 수 있다. 그와 같이, 접촉 구조는 반도체 장치의 S/D 영역의 낮은 접촉 저항을 제공할 수 있고, 따라서 디바이스의 성능을 향상시킨다.
반도체 디바이스 (200)의 접촉 구조[도 12에 나타낸 접촉 구조(230) 등]을 제조하는 도 5 및 도 6 과 도 1의 단계(106)에 예시된 바와 같이, 변형 물질(208),게이트 스택(210), 한 쌍의 스페이서 측면(216) 및 분리 영역(204) 위에 층간 유전체(ILD)층(218)을 형성함으로써 생성된다.
ILD층(218)은 유전체 물질을 포함한다. 유전체 물질은 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 포스포실리케이트 글래스(PSG), 보로포스포실리케이트 글라스 (BPSG), 스핀 온 글래스(SOG), 플루오리네이트 시리카 글래스(FSG), 탄소 도핑된 실리콘 산화물(예를 들어 SiCOH) 및/또는 그 조합을 포함할 수 있다. 일부 실시예에서, ILD층(218)은 CVD, 고밀도 플라즈마(HDP) CVD, 서브 대기CVD (SACVD), 스핀-온, 스퍼터링, 또는 다른 적합한 방법에 의해 변형 물질(208)을 위에 형성될 수 있다. 본 실시예에 있어서, ILD층(218)은 약 4000 Å 내지 약 8000 Å 내의 두께를 갖는다. ILD층(218)은 하나 이상의 유전체 물질 및/또는 하나 이상의 유전체층을 포함할 수 있다는 것이 이해된다.
ILD층(218)은 게이트 전극층(214)의 상면이 노출하거나 도달할 때까지 CMP 공정을 사용하여 평탄화된다(도 6에 나타냄). CMP 공정은 게이트 전극층(214)와 ILD층(218)에 대해 주로 평면 표면을 제공하도록 높은 선택성을 갖는다.
도 6의 반도체 디바이스(200)에 적용된 후속의 CMOS 프로세싱 단계는 ILD층(218)를 통해 접촉 개구부를 형성하여 반도체 디바이스(200)의 S/D 영역에 전기적 접촉을 제공하는 것을 포함한다. 도 7을 참조하면, 도 7의 구조는 변형 물질(208)의 일부를 노출하기 위해 ILD층(218)에서 개구부(220)를 형성함으로써 생성된다[도 1에서 단계(108)]. 일례로서, 개구부(220)의 형성은 ILD층(218) 위에 스핀 온 코팅과 같은 적합한 공정에 의해 포토레지스트의 층(도시되지 않음)을 형성하고, 적합한 리소그래피 방법에 의해 패터닝된 포토레지스터가 피쳐를 형성하도록 포토레지스터층을 패터닝하고, 변형 물질(208)의 일부를 노출하기 위해 제거하도록 노출된 ILD층(218)을 에칭(예를 들어, 건식 에칭, 습식 에칭 및/또는 플라즈마 에칭 공정을 사용함으로써)하는 것을 포함한다. 이와 같이, 개구부(220)는 변형 물질(208) 위에 있고, 여기서 개구부(220)는 변형 물질 저부(220b)와 유전체 측벽(220a)를 포함한다. 패터닝된 포토레지스트층은 이후 박리할 수 있다.
도 8 및 도 1의 단계(108)를 참조하면, ILD층(218) 내에 개구부(220)를 형성한 후에, 도 8의 구조는 개구부(220) 내부를 코팅하고 게이트 스택(210)과 ILD층(218) 위로 연장하는 제 1 금속 층(222)을 형성함으로써 생성된다. 일부 실시예에 있어서, 제 1 금속층 (222)는 Ti, Al, Zr, Hf, Ta, In, Ni, Be, Mg, Ca, Y, Ba, Sr, Sc, 또는 Ga를 포함할 수 있고 CVD, ALD 또는 스퍼터링과 같은 방법을 사용하여 있다. 일부 실시예에서는 제 1 금속층(222)은 약 1 nm 내지 약 4 nm 범위 내의 제 1 두께(T1)이 있다.
도 9 및 도 10과 도 1의 단계(112)를 참조하면, 제 1 금속층(222)의 형성에 후속하여 도 10의 구조가 변형 물질(208) 위에 유전체층(226)을 형성하기 위해 제 1 금속층(222)을 처리함으로써 생성된다. 개시된 실시예에 있어서, 제 1 금속 층(222)을 처리하는 단계는 먼저 약 1*10-10 Torr에서 약 760 Torr의 산소 압력 하에 에어 또는 밀봉 챔버와 같은 산소 함유 환경에 제 1 금속층(222)의 표면을 노출함으로써 수행되고, 결과적으로 블랭킷 흡착된 산소 함유 필름(blanket adsorbed oxygen-containing film)(224)을 제 1 금속층(222)의 표면 위에 형성한다(도 9에 나타냄). 일부 실시예에 있어서, 산소 함유 환경은 H2O, O2, 또는 O3을 포함한다.
산소 함유 환경에서 제 1 금속층(222)의 표면을 노출한 후에, 제 1 금속층(222)을 처리하는 단계는 약 200 ℃ 내지 800 ℃의 온도에서 제 1 금속층(222)의 표면을 비활성 가스에 노출하는 것을 더 포함한다. 일부 실시예에 있어서, 비활성 가스는 N2, He, 또는 Ar을 포함한다. 개시된 실시예에서 블랭킷 흡착된 산소 함유 필름(224)은 변형 물질(228) 위에 유전체층(226)을 형성하기 위해 접촉되는 제 1 금속층(222)과 반응한다. 일부 실시예에 있어서, 개구부(220)의 내부를 코팅하는 유전체층(226)은 코팅된 개구부(220a)를 형성한다.
일부 실시예에 있어서, 유전체층(226)은 약 1 nm 내지 약 10 nm의 범위 내에 있는 제 2 두께(t2)를 갖고, 이것은 유전체층(226)을 전도성으로 만든다. 이와 같이, 유전체층(226)은 이후 전도성 유전체층(226)이라 한다. 적어도 하나의 실시예에서, 전도성 유전체층(226)은 TiO, TiO2 또는 Ti2O3을 포함한다. 대안의 실시예에서 전도성 유전체층(226)은 Al2O3을 포함한다. 대안의 실시예에서 전도성 유전체층은 Zr, Hf, Ta, In, Ni, Be, Mg, Ca, Y, Ba, Sr, Sc, Ga, 및 그 혼합물로 구성된 그룹의 산화물로부터 선택된다. 개시된 실시예에서 전도성 유전체층(226)은 고정된 SBH를 감소시키고 고저항 금속 실리사이드를 대체하기 위해 저저항 중간층으로서 사용할 수 있고 디바이스의 성능을 향상시킨다.
도 11 및 도 12와 도 1의 단계(114)를 참조하면, 전도성 유전체층(226)의 형성은 전도성 유전체층(226)의 코팅된 개구부(220a) 내에 제 2 금속층(228)을 형성함으로써 생성된다. 개시된 실시예에 있어서, 제 2 금속층(228)은 전도성 유전체층(226)의 코팅된 개구부(220a)를 충진하기 위해 유전체층(226) 위에 증착된다. 일부 실시예에 있어서, 제 2 금속층(228)은 Ta, Ti, Hf, Zr, Ni, W, Co, Cu, 또는 Al을 포함한다. 일부 실시예에 있어서, 제 2 금속층(228)은 CVD, PVD, 도금, ALD 또는 다른 적합한 기술에 의해 형성될 수 있다. 일부 실시예에 있어서, 제 2 금속층(228)은 라미네이트를 포함할 수 있다. 라미네이트는 베리어 금속층, 라이너 금속층 또는 습윤 금속층을 더 포함할 수 있다. 또한, 제 2 금속층(228)의 두께는 코팅된 개구부(220a)의 깊이에 의존할 것이다. 그러므로, 제 2 금속층(228)은 코팅된 개구부(220a)가 거의 충진되거나 오버 충진될 때까지 증착된다.
그 다음에, 다른 CMP는 코팅된 개구부(220a)를 충진한 후에(도 12에 나타냄), 제 2 금속층(228)를 평면화하기 위해 수행된다. CMP가 코팅된 개구부(220a)의 외측의 제 2 금속층의 일부를 제거한 이후 CMP 공정은 ILD층(218)에 도달했을 때 정지할 수 있고, 그에 따라 실질적으로 평면의 표면을 제공한다.
일부 실시예에 있어서, 도 2 내지 도 12에 개시된 예에 관하여 반도체 디바이스(200)를 위한 접촉 구조(230)는 주면(20s) 및 주면(20s) 아래의 트렌치(206)를 포함한 기판(도 3 참조); 트렌치(206)를 충진하는 변형 물질(208) - 변형 물질(208)의 격자 상수는 기판(20)의 격자 상수와 상이함 -(도 4 참조); 변형 물질(208) 위의 개구부(220) - 개구부(220)는 유전체 측면(220a)과 변형 물질 저부(220b)를 포함 - 을 갖는 층간 유전체층(ILD)(218)(도 7 참조); 개구부(220)의 측벽(220a) 및 저부(220b)를 코팅하는 유전체층(226) - 유전체층(226)은 1 nm 내지 10 nm의 범위 내의 두께(t2)를 갖음 - (도 10 참조); 및 유전체층(226)의 코팅된 개구부(220a)를 충진하는 금속층(228)(도 12 참조)을 포함한다.
개시된 실시예에 있어서, 게이트 스택(210)은 게이트-퍼스트(gate-first) 공정을 사용하여 제조된다. 대안의 실시예에 있어서, 게이트 스택(210)은 더미 게이트 스택을 먼저 형성함으로써 수행되는 게이트-라스트(gate-last) 공정을 사용하여 제조될 수 있다. 일부 실시예에 있어서, 게이트-라스트 공정은 더미 게이트 스택을 둘러싸는 ILD층을 형성하는 단계, ILD층 내에 트렌치를 형성하기 위해 더미 게이트 전극층 제거하는 단계, 그 다음에 전도성 게이트 전극층으로 트렌치를 충진하는 단계를 포함한다. 일부 실시예에 있어서, 게이트-라스트 공정은 더미 게이트 스택을 둘러싸는 ILD층을 형성하는 단계, ILD층 내에 트렌치를 형성하기 위해 더미 게이트 전극층 및 더미 게이트 전극층을 제거하는 단계, 그 다음에 게이트 유전체층과 전도성 게이트 전극층으로 트렌치를 충진하는 단계를 포함한다.
도 2 내지 도 12에 도시된 예에 대하여 더 설명된 바와 같은 도 1에 나타낸 단계가 수행된 후에, 상호연결 프로세싱을 포함한 후속하는 프로세스가 반도체 디바이스(200) 제조를 완료하기 위해 수행된다. 전도성 유전체층(226)을 포함하는 접촉 구조(230)는 상호연결을 위한 저저항 경로를 제공함으로써 디바이스 성능을 개선한다는 것이 관찰되었다.
본 발명은 예시를 통해 바람직한 실시예의 측면에서 설명되었지만, 본 발명은 개시된 실시예에 한정되지 않음을 이해하여야 한다. 반대로, (당업자에게 명백해지는 바와 같이,) 다양한 수정 및 유사한 배치를 포함하도록 의도된다. 그러므로, 첨부된 청구항의 범위는 그러한 수정 및 유사한 배치를 모두 내포하기 위해서 가장 광범위한 해석을 따라야 한다.

Claims (10)

  1. 반도체 디바이스를 제조하는 방법에 있어서,
    주면(major surface) 및 상기 주면 아래의 트렌치를 포함하는 기판을 제공하는 단계;
    상기 트렌치 내에 변형 물질(strained material) - 상기 변형 물질의 격자 상수는 상기 기판의 격자 상수와 상이함 - 을 에피-성장시키는 단계;
    상기 변형 물질 위에 층간 유전체(inter-layer dielectric; ILD) 층을 형성하는 단계;
    상기 변형 물질의 부분을 노출하도록 상기 ILD 층 내에 개구부를 형성하는 단계;
    상기 개구부의 내부를 코팅하고 상기 ILD 층 위로 연장하는 제1 금속층을 형성하는 단계;
    상기 변형 물질 위에 전도성 유전체층을 형성하도록 상기 제1 금속층을 처리(treating)하는 단계; 및
    상기 전도성 유전체층의 코팅된 개구부 내에 제2 금속층을 형성하는 단계를 포함하고,
    상기 제1 금속층을 처리하는 단계는,
    상기 제1 금속층의 표면 상에 블랭킷 흡착된 산소 함유 필름(blanket adsorbed oxygen-containing film)을 형성하기 위해 상기 제1 금속층의 표면을 산소 함유 환경(oxygen-containing environment)에 노출시키는 단계와, 상기 제1 금속층과 상기 블랭킷 흡착된 산소 함유 필름을 반응시킴으로써 상기 전도성 유전체층을 형성하기 위해 상기 제1 금속층의 표면을 산소 함유 환경에 노출시킨 후에 상기 제1 금속층의 표면을 비활성 가스에 노출시키는 단계를 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  2. 제 1 항에 있어서,
    상기 변형 물질은 Si, Ge, SiGe, SiC, SiP 또는 III-V 반도체 물질을 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  3. 제 1 항에 있어서,
    상기 트렌치 내에 변형 물질을 에피-성장시키는 단계는, 상기 변형 물질이 상기 주면 위로 연장하는 것인, 반도체 디바이스를 제조하는 방법.
  4. 제 1 항에 있어서,
    상기 전도성 유전체층은 TiO, TiO2, 또는 Ti2O3을 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  5. 제 1 항에 있어서,
    상기 전도성 유전체층은 Al2O3을 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  6. 제 1 항에 있어서,
    상기 전도성 유전체층은 Zr, Hf, Ta, In, Ni, Be, Mg, Ca, Y, Ba, Sr, Sc, Ga, 및 그 혼합물들로 구성된 그룹의 산화물로부터 선택되는 것인, 반도체 디바이스를 제조하는 방법.
  7. 제 1 항에 있어서,
    상기 제2 금속층은 Ta, Ti, Hf, Zr, Ni, W, Co, Cu, 또는 Al을 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  8. 제 1 항에 있어서, 상기 산소 함유 환경은 H2O 또는 O2를 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  9. 제 1 항에 있어서,
    상기 비활성 가스는 N2, He 또는 Ar을 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  10. 제 1 항에 있어서,
    상기 제1 금속층의 표면을 비활성 가스에 노출시키는 단계는 200 ℃ 내지 800 ℃ 의 온도에서 수행되는 것인, 반도체 디바이스를 제조하는 방법.
KR1020120155062A 2012-09-27 2012-12-27 반도체 디바이스의 접촉 구조 KR101455478B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/629,109 US9105490B2 (en) 2012-09-27 2012-09-27 Contact structure of semiconductor device
US13/629,109 2012-09-27

Publications (2)

Publication Number Publication Date
KR20140041306A KR20140041306A (ko) 2014-04-04
KR101455478B1 true KR101455478B1 (ko) 2014-10-27

Family

ID=50338008

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120155062A KR101455478B1 (ko) 2012-09-27 2012-12-27 반도체 디바이스의 접촉 구조

Country Status (3)

Country Link
US (3) US9105490B2 (ko)
KR (1) KR101455478B1 (ko)
TW (1) TWI509807B (ko)

Families Citing this family (662)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9508716B2 (en) * 2013-03-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor device
US9773696B2 (en) 2014-01-24 2017-09-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US20150333162A1 (en) * 2014-05-16 2015-11-19 Globalfoundries Inc. Methods of forming nanowire devices with metal-insulator-semiconductor source/drain contacts and the resulting devices
US20160027772A1 (en) * 2014-07-22 2016-01-28 Mediatek Inc. Integrated capacitor in an integrated circuit
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
US9953979B2 (en) * 2014-11-24 2018-04-24 Qualcomm Incorporated Contact wrap around structure
US9496264B2 (en) * 2015-02-13 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of FinFET device
US9768261B2 (en) * 2015-04-17 2017-09-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
KR102291062B1 (ko) * 2015-06-18 2021-08-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9721896B2 (en) * 2015-09-11 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure, fabricating method thereof, and semiconductor device using the same
US9484255B1 (en) * 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9721888B2 (en) * 2015-12-08 2017-08-01 International Business Machines Corporation Trench silicide with self-aligned contact vias
US9954081B2 (en) 2015-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
DE102016116026B4 (de) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
JP6200983B2 (ja) * 2016-01-25 2017-09-20 力旺電子股▲ふん▼有限公司eMemory Technology Inc. ワンタイムプログラマブルメモリセル、該メモリセルを含むメモリアレイのプログラム方法及び読み込み方法
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US9722081B1 (en) 2016-01-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming the same
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US9768170B2 (en) 2016-02-05 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9847330B2 (en) 2016-02-05 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
DE102016114724B4 (de) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10326003B2 (en) 2016-11-28 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US10700181B2 (en) 2016-11-28 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure and method for forming the same
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10008497B2 (en) 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10553720B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10269906B2 (en) 2016-11-30 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having two spacers
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US10453741B2 (en) 2016-12-13 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device contact
DE102017113681A1 (de) 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement mit luft-abstandshalter
US10037912B2 (en) 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10522642B2 (en) 2016-12-14 2019-12-31 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor device with air-spacer
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
US10497811B2 (en) 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11476349B2 (en) 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10879370B2 (en) 2016-12-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Etching back and selective deposition of metal gate
DE102017127208A1 (de) 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-strukturen und verfahren zu deren herstellung
US10269646B2 (en) 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US10121675B2 (en) 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10325911B2 (en) 2016-12-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10516030B2 (en) 2017-01-09 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10304945B2 (en) 2017-03-24 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. High-speed semiconductor device and method for forming the same
US10950605B2 (en) 2017-03-24 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10090325B1 (en) 2017-03-31 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit cells having separated gate electrodes
US10355095B2 (en) 2017-03-31 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with composite gate helmet
US10297746B2 (en) 2017-04-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Post treatment to reduce shunting devices for physical etching process
US10056473B1 (en) 2017-04-07 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10269621B2 (en) 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10312332B2 (en) 2017-04-18 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10062784B1 (en) 2017-04-20 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned gate hard mask and method forming same
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10872980B2 (en) 2017-04-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10332786B2 (en) 2017-04-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10157997B2 (en) 2017-04-27 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming the same
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10115825B1 (en) 2017-04-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with asymmetric contact
US10170318B2 (en) 2017-04-28 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
DE102017122702B4 (de) 2017-04-28 2023-11-09 Taiwan Semiconductor Manufacturing Co. Ltd. Struktur und Verfahren für FinFET-Vorrichtung mit asymmetrischem Kontakt
US10157785B2 (en) 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10332965B2 (en) 2017-05-08 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10050149B1 (en) 2017-05-18 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure for semiconductor device
US10269636B2 (en) 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10522392B2 (en) 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10163621B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for FinFET devices
US9991268B1 (en) 2017-06-08 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell structure
US10283414B2 (en) 2017-06-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation manufacturing method for semiconductor structures
US11334703B2 (en) 2017-06-29 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with fill feature shapes
DE102018104944A1 (de) 2017-06-30 2019-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement mit einer Auskleidungsschicht mit einem konfigurierten Profil und Verfahren zu dessen Herstellung
US10720358B2 (en) 2017-06-30 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a liner layer with a configured profile and method of fabricating thereof
US10468529B2 (en) 2017-07-11 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with etch stop layer
US10157988B1 (en) 2017-07-18 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with dual spacers and method for forming the same
US10290635B2 (en) 2017-07-26 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Buried interconnect conductor
US10283623B2 (en) 2017-07-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate stacks
US10685884B2 (en) 2017-07-31 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a Fin-FET and method of manufacturing the same
US10510875B2 (en) 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
DE102017126027B4 (de) 2017-07-31 2022-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metallgatestruktur und Verfahren
US10283503B2 (en) 2017-07-31 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10269624B2 (en) 2017-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods of forming same
US10515850B2 (en) 2017-08-25 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and IC design with non-linear power rails
US10403714B2 (en) 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US10685880B2 (en) 2017-08-30 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact depth variation in semiconductor fabrication
US10535654B2 (en) 2017-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate with slanted sidewalls
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10475654B2 (en) 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
US10535525B2 (en) 2017-08-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10164053B1 (en) 2017-08-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10446555B2 (en) 2017-08-31 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal track and methods forming same
US10276720B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FINFET) device structure
US10374058B2 (en) 2017-09-15 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10361133B2 (en) 2017-09-18 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate and method for fabricating the same
US10868181B2 (en) 2017-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with blocking layer and method for forming the same
US10700177B2 (en) 2017-09-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with low resistivity contact structure and method for forming the same
US10074558B1 (en) 2017-09-28 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with controlled air gaps
US10636673B2 (en) 2017-09-28 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10686074B2 (en) 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
US10515687B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Strap cell design for static random access memory (SRAM) array
US10157790B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10763104B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10509334B2 (en) 2017-09-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and apparatus for removing contamination from lithographic tool
US10290739B2 (en) * 2017-09-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10217815B1 (en) 2017-10-30 2019-02-26 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit device with source/drain barrier
US10403551B2 (en) 2017-11-08 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain features with an etch stop layer
US10872762B2 (en) 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
DE102018107038B4 (de) 2017-11-09 2022-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer durchkontaktierungsstruktur
US10367078B2 (en) 2017-11-09 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and FinFET devices having shielding layers
US10439135B2 (en) 2017-11-09 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. VIA structure and methods of forming the same
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
US10629708B2 (en) 2017-11-14 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with barrier layer and method for forming the same
US10727178B2 (en) 2017-11-14 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure and methods thereof
US10283624B1 (en) 2017-11-14 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10468530B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with source/drain multi-layer structure and method for forming the same
US10468527B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10396184B2 (en) 2017-11-15 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device fins
US10515809B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Selective high-K formation in gate-last process
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10964590B2 (en) 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
US10515948B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including vertical routing structure and method for manufacturing the same
US10170322B1 (en) 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US10658508B2 (en) 2017-11-17 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with low resistance contact
US10629693B2 (en) 2017-11-17 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with barrier layer and method for forming the same
US10978351B2 (en) 2017-11-17 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer between substrate and isolation structure
US10727117B2 (en) 2017-11-20 2020-07-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10504990B2 (en) 2017-11-21 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation features and methods of fabricating the same
US11037924B2 (en) 2017-11-21 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10418453B2 (en) 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US10867986B2 (en) 2017-11-24 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having fin structure
US10658362B2 (en) 2017-11-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10714475B2 (en) 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10332789B2 (en) 2017-11-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with TiN adhesion layer for forming a contact plug
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
US10714334B2 (en) 2017-11-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10777466B2 (en) 2017-11-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin cutting process and structures formed thereby
US10510838B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. High surface dopant concentration formation processes and structures formed thereby
US10164048B1 (en) 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10840376B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method with enhanced gate contact and threshold voltage
US10312089B1 (en) 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for controlling an end-to-end distance in semiconductor device
US10366982B2 (en) 2017-11-30 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with embedded memory device and contact isolation scheme
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10515849B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device, interconnection structure and method for forming the same
US10361120B2 (en) 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US11011618B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit devices with gate seals
US10861745B2 (en) 2017-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10804180B2 (en) 2017-11-30 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10847413B2 (en) 2017-11-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact plugs for semiconductor device
DE102018102448B4 (de) 2017-11-30 2023-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung und Struktur leitfähiger Merkmale
US10319581B1 (en) 2017-11-30 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate process for reducing transistor spacing
US10177038B1 (en) 2017-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of contact bottom void in semiconductor fabrication
US10867833B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal for FinFET device and method
DE102018128925B4 (de) 2017-11-30 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
US10460994B2 (en) 2017-11-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Residue-free metal gate cutting for fin-like field effect transistor
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10608094B2 (en) 2018-01-23 2020-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
AU2019214861B2 (en) 2018-01-31 2021-11-18 Mirati Therapeutics, Inc. PRC2 inhibitors
US10651292B2 (en) 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction
US10461078B2 (en) 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
US10867851B2 (en) 2018-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and semiconductor device and method of forming the same
US10535748B2 (en) 2018-03-01 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact with a silicide region
US11031286B2 (en) 2018-03-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10475702B2 (en) 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US10290535B1 (en) 2018-03-22 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication with a passivation agent
US10867844B2 (en) 2018-03-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning with tunable metal recess for VIA plugs
US10804140B2 (en) 2018-03-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect formation and structure
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10629492B2 (en) 2018-04-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having a dielectric gate and methods thereof
US10699943B2 (en) 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
US10867848B2 (en) 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10685966B2 (en) 2018-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with contacting gate structures
US10504775B1 (en) 2018-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal layer structures in semiconductor devices
US10529860B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US10529414B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell having SiGe PMOS fin lines
US11107902B2 (en) 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US10840153B2 (en) 2018-06-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Notched gate structure fabrication
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10950434B2 (en) 2018-06-27 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing gate spacer loss during semiconductor manufacturing
US10665673B2 (en) 2018-06-28 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with non-gated well tap cell
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US11410890B2 (en) 2018-06-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial layers in source/drain contacts and methods of forming the same
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US11694933B2 (en) 2018-06-28 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal gate spacer
US10755917B2 (en) 2018-06-29 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment for adhesion improvement
US11081403B2 (en) 2018-06-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in field-effect transistors
US10468500B1 (en) 2018-06-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET fabrication methods
US10868128B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Ohmic contact structure, semiconductor device including an ohmic contact structure, and method for forming the same
US11315933B2 (en) 2018-06-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and method for forming the same
US11018053B2 (en) 2018-06-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with material modification and low resistance plug
US11081356B2 (en) 2018-06-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for metal gate cut and structure thereof
US11244898B2 (en) 2018-06-29 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit interconnect structures with air gaps
US10541175B1 (en) 2018-07-13 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US11127631B2 (en) 2018-07-13 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contact structures
US10949597B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Through-silicon vias in integrated circuit packaging
US10672870B2 (en) 2018-07-16 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10755945B2 (en) 2018-07-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Metal contacts on metal gates and methods thereof
US10854503B2 (en) 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with air gap and method sealing the air gap
US11171053B2 (en) 2018-07-27 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor device and related methods
US10854716B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US10840189B2 (en) 2018-07-30 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit devices having raised via contacts and methods of fabricating the same
US10734474B2 (en) 2018-07-30 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal structure and methods of fabrication thereof
US11038059B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US10868184B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US11069692B2 (en) 2018-07-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with dielectric fins
US10868182B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and manufacturing method thereof
US11978802B2 (en) 2018-07-31 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming the same
US11031300B2 (en) 2018-07-31 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10658237B2 (en) 2018-07-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US10714342B2 (en) * 2018-07-31 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11217479B2 (en) 2018-07-31 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metallization scheme
US10790195B2 (en) 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated pattern and formation thereof
US11121129B2 (en) 2018-07-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11081395B2 (en) 2018-07-31 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor having air gap and method for manufacturing the same
US10693004B2 (en) 2018-08-14 2020-06-23 Taiwan Semiconductor Manufactruing Co., Ltd. Via structure with low resistivity and method for forming the same
US10797161B2 (en) * 2018-08-14 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure using selective forming process
US10840342B2 (en) 2018-08-14 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming source/drain contacts in field-effect transistors
US11031383B2 (en) 2018-08-14 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10679856B2 (en) 2018-08-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with insulating structure over fin isolation structure and method for forming the same
DE102019120821A1 (de) 2018-08-15 2020-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und prozess einer integrierten schaltung mit einer latch-up-unterdrückung
US11062963B2 (en) 2018-08-15 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and process of integrated circuit having latch-up suppression
US11018011B2 (en) 2018-08-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in semiconductor devices
US10868020B2 (en) 2018-08-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Well strap structures and methods of forming the same
US10930564B2 (en) 2018-08-31 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US11222951B2 (en) 2018-08-31 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain structure and method
US10868118B2 (en) 2018-08-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US11043425B2 (en) 2018-08-31 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing parasitic capacitance in semiconductor devices
US10861928B2 (en) 2018-09-18 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with capacitors
US11101385B2 (en) 2018-09-19 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with air gap and method for forming the same
US11024721B2 (en) 2018-09-20 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11437385B2 (en) 2018-09-24 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with reduced fin pitch
US10923393B2 (en) 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US10872891B2 (en) 2018-09-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate cut features
US11217585B2 (en) 2018-09-25 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Forming dielectric dummy fins with different heights in different regions of a semiconductor device
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
US11508827B2 (en) 2018-09-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer for a gate structure of a transistor
US10937691B2 (en) 2018-09-27 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming an abrasive slurry and methods for chemical-mechanical polishing
US10636702B2 (en) 2018-09-27 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive interconnect structures in integrated circuits
US11171209B2 (en) 2018-09-27 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10854506B2 (en) 2018-09-27 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11374126B2 (en) 2018-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with fin top hard mask and method of forming the same
US10964816B2 (en) 2018-09-27 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for boosting performance of FinFETs via strained spacer
US10840152B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10840133B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with staggered selective growth
US11004740B2 (en) 2018-09-27 2021-05-11 Taiwan Semicondctor Manufacturing Co., Ltd. Structure and method for interconnection with self-alignment
US11121025B2 (en) 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
US11011636B2 (en) 2018-09-27 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US11411090B2 (en) 2018-09-27 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures for gate-all-around devices and methods of forming the same
US11349008B2 (en) 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US11205714B2 (en) 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy structure at fin cut
US10672665B2 (en) 2018-09-28 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US10923474B2 (en) 2018-09-28 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having gate-all-around devices
US11107925B2 (en) 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in field-effect transistors
US10950725B2 (en) 2018-09-28 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain structure and method of forming same
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US11024549B2 (en) 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11257671B2 (en) 2018-09-28 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of control of epitaxial growth
US11069579B2 (en) 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10847373B2 (en) 2018-10-23 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming silicide contact in field-effect transistors
US11380682B2 (en) 2018-10-23 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with FinFET gate structures
US10825721B2 (en) 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
US10978571B2 (en) * 2018-10-24 2021-04-13 International Business Machines Corporation Self-aligned contact with metal-insulator transition materials
US10872906B2 (en) 2018-10-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10840251B2 (en) 2018-10-25 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method
US10868018B2 (en) 2018-10-25 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and connection
US10950729B2 (en) 2018-10-26 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure with insulating cap
US10937876B2 (en) 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
US10985022B2 (en) 2018-10-26 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures having interfacial layers
US10943983B2 (en) 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US11145544B2 (en) 2018-10-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact etchback in room temperature ionic liquid
US10916550B2 (en) 2018-10-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory devices with gate all around transistors
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10998238B2 (en) 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
US11217486B2 (en) 2018-10-31 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10944009B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating a FinFET device with wrap-around silicide source/drain structure
US10957604B2 (en) 2018-10-31 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10971408B2 (en) 2018-10-31 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact air gap formation and structures thereof
US10867842B2 (en) 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
US11043558B2 (en) 2018-10-31 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain metal contact and formation thereof
US10923598B2 (en) 2018-11-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and methods of forming the same
US11195951B2 (en) 2018-11-27 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with self-aligned wavy contact profile and method of forming the same
US11476196B2 (en) 2018-11-27 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multi-layer dielectric
US11271094B2 (en) 2018-11-29 2022-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US11264268B2 (en) 2018-11-29 2022-03-01 Taiwan Semiconductor Mtaiwananufacturing Co., Ltd. FinFET circuit devices with well isolation
US11107690B2 (en) 2018-11-30 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US10879400B2 (en) 2018-12-24 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and method of manufacturing the same
US10868000B2 (en) 2019-01-25 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with epitaxial structure and method for forming the same
US11685015B2 (en) 2019-01-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for performing chemical mechanical polishing
US10777455B2 (en) 2019-01-29 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-etching process for forming via opening in semiconductor device structure
US11823896B2 (en) 2019-02-22 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure formed by cyclic chemical vapor deposition
US10868171B2 (en) 2019-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate dielectric layer and method for forming the same
US10535524B1 (en) 2019-03-11 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment
US11469109B2 (en) 2019-03-14 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having metal contact features and method for forming the same
US10872810B2 (en) 2019-03-14 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11043594B2 (en) 2019-03-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Low parasitic resistance contact structure
US11101353B2 (en) * 2019-04-17 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10971630B2 (en) 2019-04-24 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having both gate-all-around devices and planar devices
US11232943B2 (en) 2019-04-24 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for semiconductor interconnect
US11121234B2 (en) 2019-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked gate spacers
US11031336B2 (en) 2019-04-25 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device having contact element of rectangular shape
US11094695B2 (en) 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
US11069784B2 (en) 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10818768B1 (en) 2019-05-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming metal cap layers to improve performance of semiconductor structure
US11183580B2 (en) 2019-05-30 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US10755964B1 (en) 2019-05-31 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain isolation structure and methods thereof
US11342229B2 (en) 2019-06-13 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device structure having an electrical connection structure
US11043595B2 (en) 2019-06-14 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate in memory macro edge and middle strap
US11264284B2 (en) 2019-06-20 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10872821B1 (en) 2019-06-24 2020-12-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11245071B2 (en) 2019-06-25 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor device having the same
US11515197B2 (en) 2019-07-11 2022-11-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the semiconductor device
US11152486B2 (en) 2019-07-15 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance
US11476166B2 (en) 2019-07-30 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
US11145660B2 (en) 2019-07-31 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-port SRAM cell structure
US11348839B2 (en) 2019-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices with multiple silicide regions
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
US11532550B2 (en) 2019-07-31 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a multi-layer conductive feature and method making the same
US11335817B2 (en) 2019-08-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Composite etch stop layers for sensor devices
TWI814888B (zh) * 2019-08-15 2023-09-11 聯華電子股份有限公司 一種製作半導體元件的方法
US11152488B2 (en) 2019-08-21 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure with dummy pattern top in channel region and methods of forming the same
US11094788B2 (en) 2019-08-21 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11069811B2 (en) 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US20210057273A1 (en) 2019-08-22 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-Less Structures
US11127639B2 (en) 2019-08-22 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US11189531B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US11189727B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contacts and method forming same
US11710667B2 (en) 2019-08-27 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with trimmed channel and dipoled dielectric layer and methods of forming the same
US11195934B2 (en) 2019-08-29 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for bi-layer self-aligned contact
US11456383B2 (en) 2019-08-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a contact plug with an air gap spacer
US11158721B2 (en) 2019-08-30 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide interlayer structure for nFET and pFET
DE102020114875B4 (de) 2019-08-30 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-vorrichtung und verfahren
US11302818B2 (en) 2019-09-16 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate resistance reduction through low-resistivity conductive layer
US10937652B1 (en) 2019-09-16 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure of cut end with self-aligned double patterning
US11239114B2 (en) 2019-09-16 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced contact resistance and methods of forming the same
US11227950B2 (en) 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming air spacers in semiconductor devices
US11227828B2 (en) 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10867863B1 (en) 2019-09-16 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10937884B1 (en) 2019-09-16 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate spacer with air gap for semiconductor device structure and method for forming the same
US11282920B2 (en) 2019-09-16 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gap on gate structure and method for forming the same
US11362212B2 (en) 2019-09-17 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact interface engineering for reducing contact resistance
US11335592B2 (en) 2019-09-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Contact resistance between via and conductive line
US11342231B2 (en) 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device with low threshold voltage
US11114547B2 (en) 2019-09-17 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with negative capacitance dieletric structures
US11315785B2 (en) 2019-09-17 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial blocking layer for multi-gate devices and fabrication methods thereof
US11469139B2 (en) 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs
US11177344B2 (en) 2019-09-25 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device with air gap spacer and fabrication methods thereof
US11387146B2 (en) 2019-09-26 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gaps between metal gates and method of forming the same
US11621224B2 (en) 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices
US11282935B2 (en) 2019-09-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with protective dielectric layer and method of forming the same
US11239121B2 (en) 2019-09-26 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate contacts and methods of forming the same
US11145765B2 (en) 2019-09-26 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure with self substrate isolation and methods of forming the same
US11508624B2 (en) 2019-09-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with different channel semiconductor materials and method of forming the same
US11342222B2 (en) 2019-09-26 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned scheme for semiconductor device and method of forming the same
US11443980B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with metal pad extending into top metal layer
US11328990B2 (en) 2019-09-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure having a metal hump for low interface resistance
US11271083B2 (en) 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, FinFET device and methods of forming the same
US11587927B2 (en) 2019-09-27 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Crown bulk for FinFET device
US11581226B2 (en) 2019-09-27 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with tunable epitaxy structures and method of forming the same
US11211116B2 (en) 2019-09-27 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM write assist circuit
US11296084B2 (en) 2019-09-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition method, semiconductor device and method of fabricating the same
US11289417B2 (en) 2019-09-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of forming the same
US11094796B2 (en) 2019-09-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
US11264393B2 (en) 2019-09-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact having a protruding segment
US11158539B2 (en) 2019-10-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for barrier-less plug
US11189708B2 (en) 2019-10-17 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate stack and method for forming the same
US11335774B2 (en) 2019-10-18 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for semiconductor device and method
US11211470B2 (en) * 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11201229B2 (en) 2019-10-18 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11037925B2 (en) 2019-10-18 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method of integrated circuit having decouple capacitance
US11251305B2 (en) 2019-10-25 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11322495B2 (en) 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11264270B2 (en) 2019-10-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Air-replaced spacer for self-aligned contact scheme
US11251284B2 (en) 2019-10-29 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate cutting process and resulting gate structures
KR20220078638A (ko) * 2019-10-30 2022-06-10 더 리전츠 오브 더 유니버시티 오브 캘리포니아 갈륨 함유 발광 장치의 성능 향상 방법
US11145728B2 (en) 2019-10-30 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
US11488857B2 (en) 2019-10-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
US11380781B2 (en) 2019-12-17 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Contact and via structures for semiconductor devices
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11227794B2 (en) 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure
US11502166B2 (en) 2019-12-20 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal material for air gaps in semiconductor devices
US11728223B2 (en) 2019-12-20 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US11901220B2 (en) 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
CN113013100A (zh) * 2019-12-20 2021-06-22 台湾积体电路制造股份有限公司 用于形成半导体器件的方法
US11296187B2 (en) 2019-12-20 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Seal material for air gaps in semiconductor devices
US11276571B2 (en) 2019-12-26 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method of breaking through etch stop layer
US11488859B2 (en) 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11508623B2 (en) 2019-12-31 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local gate height tuning by CMP and dummy gate design
US11495491B2 (en) 2020-01-16 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stacked conductive structures
US11302692B2 (en) 2020-01-16 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same
US11476365B2 (en) 2020-01-16 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11355615B2 (en) 2020-01-17 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having fluorine-doped gate sidewall spacers
US11244899B2 (en) 2020-01-17 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Butted contacts and methods of fabricating the same in semiconductor devices
US11302577B2 (en) 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US11302784B2 (en) 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having contact feature and method of fabricating the same
US11393910B2 (en) 2020-01-21 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and formation method thereof
US11201106B2 (en) 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US11929327B2 (en) 2020-01-29 2024-03-12 Taiwan Semiconductor Manufacturing Co., Inc. Liner-free conductive structures with anchor points
US11264273B2 (en) 2020-01-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Electron migration control in interconnect structures
DE102020119831A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Überzugfreie leitfähige strukturen mit ankerpunkten
US11437287B2 (en) 2020-01-31 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and methods of forming thereof
DE102020114860A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-gates und verfahren zum bilden davon
US11217586B2 (en) 2020-01-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having dummy fin physically separating the first and second gate stacks
US11177383B2 (en) 2020-02-10 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11094702B1 (en) 2020-02-10 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. One-time programmable memory device including anti-fuse element and manufacturing method thereof
US11189706B2 (en) 2020-02-11 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with airgap and method of forming the same
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11664279B2 (en) 2020-02-19 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple threshold voltage implementation through lanthanum incorporation
US11830948B2 (en) 2020-02-19 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11201085B2 (en) 2020-02-25 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having air gap and method for forming the same
US11373947B2 (en) 2020-02-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures of semiconductor device
US11715781B2 (en) 2020-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with improved capacitors
US11211256B2 (en) 2020-02-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd Method with CMP for metal ion prevention
US11133230B2 (en) 2020-02-26 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with dual isolation liner and method of forming the same
US11374128B2 (en) 2020-02-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for air gap inner spacer in gate-all-around devices
CN113113311A (zh) 2020-02-27 2021-07-13 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN113113359A (zh) 2020-02-27 2021-07-13 台湾积体电路制造股份有限公司 半导体装置的制造方法
US11152475B2 (en) 2020-02-27 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts utilizing an inhibitor
US11515216B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Dual silicide structure and methods thereof
TW202145443A (zh) 2020-02-27 2021-12-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法
TW202139270A (zh) * 2020-02-27 2021-10-16 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US11515211B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cut EPI process and structures
US11404570B2 (en) * 2020-02-27 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with embedded ferroelectric field effect transistors
US11545432B2 (en) 2020-02-27 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device with source and drain vias having different sizes
DE102021104484A1 (de) 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Schaltungsstruktur mit gatekonfiguration
US11588038B2 (en) 2020-03-30 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit structure with gate configuration
US11563001B2 (en) 2020-03-30 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer and capping structures in semiconductor devices
US11374105B2 (en) 2020-03-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet device with dipole dielectric layer and methods of forming the same
DE102020126060A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrschichtige high-k-gatedielektrikumstruktur
US12022643B2 (en) 2020-03-31 2024-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer high-k gate dielectric structure
US11251073B2 (en) 2020-04-01 2022-02-15 Taiwan Semiconductor Manufacturing Co. Selective deposition of barrier layer
US11296202B2 (en) 2020-04-01 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory chip structure having GAA transistors with different threshold voltages and work functions for improving performances in multiple applications
US11158632B1 (en) 2020-04-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance
US11508572B2 (en) 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11302796B2 (en) 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming self-aligned source/drain metal contacts
US11450602B2 (en) * 2020-04-01 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid method for forming semiconductor interconnect structure
US11309398B2 (en) 2020-04-01 2022-04-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method for the semiconductor device
US11489053B2 (en) 2020-04-09 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11177212B2 (en) 2020-04-13 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US11335638B2 (en) 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices
US11342501B2 (en) 2020-04-17 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor device having the same
US11164789B1 (en) 2020-04-17 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device that includes covering metal gate with multilayer dielectric
DE102020121223A1 (de) 2020-04-24 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selektive Auskleidung auf Rückseitendurchkontaktierung und deren Verfahren
US11121138B1 (en) 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11450660B2 (en) 2020-04-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11521929B2 (en) 2020-04-28 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for liner-free conductive structures
US11398385B2 (en) 2020-05-08 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11257712B2 (en) 2020-05-13 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact formation methods and devices
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer
US11631745B2 (en) 2020-05-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with uneven gate profile
US11996409B2 (en) 2020-05-20 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking CMOS structure
US11450572B2 (en) 2020-05-22 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11374089B2 (en) 2020-05-22 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation (STI) contact structures and methods of forming same
US11282843B2 (en) 2020-05-22 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device, SRAM cell, and manufacturing method thereof
US11349005B2 (en) 2020-05-22 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide structures in transistors and methods of forming
US11289383B2 (en) 2020-05-27 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11929329B2 (en) 2020-05-28 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene process using cap layer
US11410876B2 (en) 2020-05-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device with air gaps and method of fabrication thereof
US11502199B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Co, Ltd. Independent control of stacked semiconductor device
US11380768B2 (en) 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102020131611A1 (de) 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit luftspalten und verfahren zu deren herstellung
US11302798B2 (en) 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with air gate spacer and air gate cap
US11527533B2 (en) 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET pitch scaling
US11799002B2 (en) 2020-05-29 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11195752B1 (en) 2020-05-29 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
US11699742B2 (en) 2020-05-29 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with varying numbers of channel layers and method of fabrication thereof
US11527539B2 (en) 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Four-poly-pitch SRAM cell with backside metal tracks
US11637126B2 (en) 2020-05-29 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11443987B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
US11888064B2 (en) 2020-06-01 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN113299648A (zh) 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11361994B2 (en) 2020-06-08 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fully self-aligned interconnect structure
US11515165B2 (en) 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11316033B2 (en) 2020-06-12 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11282943B2 (en) 2020-06-15 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate devices and fabricating the same with etch rate modulation
US11257755B2 (en) 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
US11367621B2 (en) 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11600728B2 (en) 2020-06-15 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer
US11637099B2 (en) 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processes
US20210391470A1 (en) 2020-06-15 2021-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Layered structure, semiconductor device including the same, and manufacturing method thereof
US11398550B2 (en) 2020-06-15 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with facet S/D feature and methods of forming the same
US11631736B2 (en) 2020-06-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US11316023B2 (en) 2020-06-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof
US11444025B2 (en) * 2020-06-18 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor and fabrication method thereof
US20210399013A1 (en) 2020-06-18 2021-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11430700B2 (en) 2020-06-26 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Trench isolation with conductive structures
US11145734B1 (en) 2020-06-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with dummy fin and liner and method of forming the same
US11545546B2 (en) 2020-06-30 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11848238B2 (en) 2020-06-30 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for manufacturing semiconductor devices with tunable low-k inner air spacers
US11848239B2 (en) 2020-07-10 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning method and structures resulting therefrom
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11728244B2 (en) 2020-07-17 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US11276643B2 (en) 2020-07-22 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside spacer and methods of forming the same
US11664278B2 (en) 2020-07-22 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with L-shape conductive feature and methods of forming the same
US11456211B2 (en) 2020-07-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnect structure
US11532718B2 (en) 2020-07-30 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins
US11728413B2 (en) 2020-07-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate capping structures in semiconductor devices
US11862701B2 (en) 2020-07-31 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked multi-gate structure and methods of fabricating the same
US11810960B2 (en) 2020-07-31 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11437240B2 (en) 2020-08-05 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structure and method of forming
US11527621B2 (en) 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
US11923436B2 (en) 2020-08-07 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11302816B2 (en) 2020-08-11 2022-04-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11430790B2 (en) 2020-08-14 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US11935941B2 (en) 2020-08-14 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing thereof
US11563083B2 (en) 2020-08-14 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11335606B2 (en) 2020-08-19 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Power rails for stacked semiconductor device
US11646377B2 (en) 2020-08-21 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11810857B2 (en) 2020-08-25 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Via for semiconductor device and method
US11616143B2 (en) 2020-08-27 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11404321B2 (en) 2020-08-31 2022-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US11862694B2 (en) 2020-09-23 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11349002B2 (en) 2020-09-25 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof
US11430701B2 (en) 2020-09-25 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate oxide structures in semiconductor devices
US11348929B2 (en) 2020-09-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11664272B2 (en) 2020-09-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11967526B2 (en) 2020-09-29 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
US11581218B2 (en) 2020-09-29 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11942371B2 (en) 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening
US11749732B2 (en) 2020-09-29 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch profile control of via opening
US11728212B2 (en) 2020-09-29 2023-08-15 Taiwan Semicondcutor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US11705491B2 (en) 2020-09-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11404548B2 (en) 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitance reduction for backside power rail device
US11894435B2 (en) 2020-10-15 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug structure of semiconductor device and method of forming same
US11637018B2 (en) 2020-10-27 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for contact structures of semiconductor devices
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11735470B2 (en) 2020-11-13 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with source/drain contact
US11482451B2 (en) 2020-11-20 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures
US11374093B2 (en) 2020-11-25 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11557511B2 (en) 2021-01-12 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11658216B2 (en) 2021-01-14 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gate boundary isolation
US11670681B2 (en) 2021-01-14 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fully strained channels
US11923357B2 (en) 2021-01-18 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11538927B2 (en) 2021-01-28 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructures and method for manufacturing the same
US11600703B2 (en) 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device
US11581411B2 (en) 2021-02-09 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US12002885B2 (en) 2021-02-11 2024-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Gate contact and via structures in semiconductor devices
US11984356B2 (en) 2021-02-11 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11621197B2 (en) 2021-02-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate cut feature and method for forming the same
US11798943B2 (en) 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same
US11652171B2 (en) 2021-02-22 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact for semiconductor device and method of forming thereof
US11670595B2 (en) 2021-02-25 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11676862B2 (en) 2021-02-26 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11854963B2 (en) 2021-03-03 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor interconnection structure and methods of forming the same
US11626482B2 (en) 2021-03-04 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer formation with a spin-on dielectric material
US11996324B2 (en) 2021-03-05 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature of a semiconductor device and method of forming same
US11538858B2 (en) 2021-03-05 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and memory array
US11876119B2 (en) 2021-03-05 2024-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate isolation features and fabrication method of the same
US11855153B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US12040233B2 (en) 2021-03-10 2024-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11942358B2 (en) 2021-03-12 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low thermal budget dielectric for semiconductor devices
US11670499B2 (en) 2021-03-18 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming conductive feature including cleaning step
US11942479B2 (en) 2021-03-26 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11482518B2 (en) 2021-03-26 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures having wells with protruding sections for pickup cells
US11605558B2 (en) 2021-03-26 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit interconnect structure having discontinuous barrier layer and air gap
US11682675B2 (en) 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11605591B2 (en) 2021-03-30 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11901228B2 (en) 2021-03-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned scheme for semiconductor device and method of forming the same
US11749729B2 (en) 2021-03-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, integrated circuit component and manufacturing methods thereof
US11695042B2 (en) 2021-04-08 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor contacts and methods of forming the same
US11646346B2 (en) 2021-04-08 2023-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure with air spacer for semiconductor device and method for forming the same
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11710664B2 (en) 2021-04-15 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with backside via contact and a protection liner layer
US11728218B2 (en) 2021-04-16 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11996327B2 (en) 2021-04-22 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same
US11908701B2 (en) 2021-04-22 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method and manufacturing method of semiconductor device
US11482595B1 (en) 2021-04-23 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11737287B2 (en) 2021-04-23 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and semiconductor device having the same
US12010928B2 (en) 2021-04-23 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, semiconductor device having the same, and methods of manufacturing the same
US11652152B2 (en) 2021-04-23 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Capping structures in semiconductor devices
US11996363B2 (en) 2021-04-28 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure including a heat dissipation layer and methods of forming the same
US11855186B2 (en) 2021-04-28 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11600699B2 (en) 2021-05-05 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure integrating air gaps and methods of forming the same
US11705371B2 (en) 2021-05-05 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having merged source/drain features and methods of fabrication thereof
US11967622B2 (en) 2021-05-05 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Inter block for recessed contacts and methods forming same
US11776895B2 (en) 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11756884B2 (en) 2021-05-06 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of forming the same
US11640940B2 (en) 2021-05-07 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnection structure including conductive graphene layers
US11792977B2 (en) 2021-05-13 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor memory structure
US11996321B2 (en) 2021-06-17 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US11810919B2 (en) 2021-06-17 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with conductive via structure and method for forming the same
US11957070B2 (en) 2021-08-06 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, memory cell and method of forming the same
US11908702B2 (en) * 2021-08-19 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11581416B1 (en) 2021-08-19 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US12009259B2 (en) 2021-08-30 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including low-k metal gate isolation and methods of fabrication thereof
US11990524B2 (en) 2021-08-30 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and forming method thereof
US11749570B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch monitoring and performing
US11973124B2 (en) 2021-11-04 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000027908A (ko) * 1998-10-29 2000-05-15 로버트 에이치. 씨. 챠오 임베디드 디램의 제조 방법
US7754571B2 (en) 2006-11-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a strained channel in a semiconductor device
KR20110036505A (ko) * 2009-10-01 2011-04-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 핀 전계효과 트랜지스터 및 이를 형성하기 위한 방법
KR20110084166A (ko) * 2008-12-19 2011-07-21 인텔 코포레이션 금속―절연체―반도체 터널 컨택트

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262719A (en) 1991-09-19 1993-11-16 International Business Machines Corporation Test structure for multi-layer, thin-film modules
US6521956B1 (en) 2002-01-04 2003-02-18 Promos Technologies Inc. Semiconductor device having contact of Si-Ge combined with cobalt silicide
JP4750342B2 (ja) 2002-07-03 2011-08-17 ルネサスエレクトロニクス株式会社 Mos−fetおよびその製造方法、並びに半導体装置
US20050046312A1 (en) * 2003-09-01 2005-03-03 Fuji Photo Film Co., Ltd. Laminated structure, piezoelectric actuator and method of manufacturing the same
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7023018B2 (en) 2004-04-06 2006-04-04 Texas Instruments Incorporated SiGe transistor with strained layers
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
JP4369359B2 (ja) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
TWI263265B (en) 2005-02-13 2006-10-01 United Microelectronics Corp Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
US7413961B2 (en) 2006-05-17 2008-08-19 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a transistor structure
US7968952B2 (en) 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
US7719062B2 (en) * 2006-12-29 2010-05-18 Intel Corporation Tuned tensile stress low resistivity slot contact structure for n-type transistor performance enhancement
US8344447B2 (en) 2007-04-05 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon layer for stopping dislocation propagation
JP4343256B1 (ja) 2008-07-10 2009-10-14 Necエレクトロニクス株式会社 半導体装置の製造方法
KR101552938B1 (ko) 2009-02-02 2015-09-14 삼성전자주식회사 스트레스 생성층을 갖는 반도체 소자의 제조방법
US20100276810A1 (en) * 2009-05-04 2010-11-04 Vanguard International Semiconductor Corporation Semiconductor device and fabrication method thereof
KR101043463B1 (ko) 2009-05-28 2011-06-23 삼성전기주식회사 다층 세라믹 기판 및 이의 제조 방법
KR101003615B1 (ko) 2009-06-02 2010-12-23 삼성전기주식회사 세라믹 기판의 전극패턴 형성방법
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8877581B2 (en) 2009-08-24 2014-11-04 Texas Instruments Incorporated Strain-engineered MOSFETs having rimmed source-drain recesses
JP2011082449A (ja) 2009-10-09 2011-04-21 Elpida Memory Inc 半導体装置
JP5559639B2 (ja) 2010-08-25 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9128123B2 (en) 2011-06-03 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Interposer test structures and methods
FR2979480B1 (fr) 2011-08-25 2013-09-27 Commissariat Energie Atomique Procede de realisation d'un dispositif a transistors contraints par siliciuration des zones de source et de drain
US8853035B2 (en) 2011-10-05 2014-10-07 International Business Machines Corporation Tucked active region without dummy poly for performance boost and variation reduction
US8823065B2 (en) * 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) * 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000027908A (ko) * 1998-10-29 2000-05-15 로버트 에이치. 씨. 챠오 임베디드 디램의 제조 방법
US7754571B2 (en) 2006-11-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a strained channel in a semiconductor device
KR20110084166A (ko) * 2008-12-19 2011-07-21 인텔 코포레이션 금속―절연체―반도체 터널 컨택트
KR20110036505A (ko) * 2009-10-01 2011-04-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 핀 전계효과 트랜지스터 및 이를 형성하기 위한 방법

Also Published As

Publication number Publication date
US20140084340A1 (en) 2014-03-27
TWI509807B (zh) 2015-11-21
US10269628B2 (en) 2019-04-23
US9105490B2 (en) 2015-08-11
US20150303106A1 (en) 2015-10-22
US20170170061A1 (en) 2017-06-15
KR20140041306A (ko) 2014-04-04
US9589838B2 (en) 2017-03-07
TW201413968A (zh) 2014-04-01

Similar Documents

Publication Publication Date Title
KR101455478B1 (ko) 반도체 디바이스의 접촉 구조
US9099494B2 (en) Contact structure of semiconductor device
US9899521B2 (en) FinFET low resistivity contact formation method
US10741400B2 (en) Gate replacement structures in semiconductor devices
US9337304B2 (en) Method of making semiconductor device
US10109507B2 (en) Fluorine contamination control in semiconductor manufacturing process
US8994116B2 (en) Hybrid gate process for fabricating FinFET device
KR101334465B1 (ko) 개선된 실리사이드 형성 및 연관된 장치
US9397217B2 (en) Contact structure of non-planar semiconductor device
KR101684010B1 (ko) 반도체 디바이스의 콘택 구조물

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171013

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181011

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191010

Year of fee payment: 6